Outline. Outline. Seite 1. CMOS Sensor Arrays for Bio Molecule and Neural Tissue Interfacing. Introduction. 1. Introduction 2.

Size: px
Start display at page:

Download "Outline. Outline. Seite 1. CMOS Sensor Arrays for Bio Molecule and Neural Tissue Interfacing. Introduction. 1. Introduction 2."

Transcription

1 Outline CMOS Sensor Arrays for Bio Molecule and Neural issue Interfacing Roland hewes Munich, Germany 13 February 2009 Dallas, X 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Page 1 Page 2 Introduction Beyond classical CMOS scaling driven performance increases, summarized as More Moore, the IRS roadmap considers a second branch entitled More than Moore. here, CMOS generates value by functional diversification and application specific extensions. Among the related areas, Biochips are explicitly highlighted. Biotechnology and life sciences as such have gained huge attention in recent years due to the achievements of these disciplines on the one hand and due to the belief in their potential for forthcoming decades on the other. Purpose of this talk is to provide an overview about status, challenges, and opportunities where Silicon and CMOS meet these disciplines. Outline 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Page 3 Page 4 Seite 1

2 DNA* Microarray Chips Basic Operation Principle of DNA Microarray Chips Purpose: Highly parallel investigation concerning the presence / absence / quantitative amount of specific (pre-defined) DNA sequences in a given sample Basic setup: Slide ( chip ) of the order mm 2... cm 2 made of glass / polymer material / Si DNA chip species 1 (probe molecules) Immobilize different DNA sequences on the different positions Flood whole chip with sample & let hybridization take place match Wash whole chip & detect hybridization Most important applications: Genome research Drug development Medical diagnosis species 2 species 3 mismatch Application dependent requirements: Sensitivity / dynamic range ( gene expression, drug development) Specificity ( medical diagnosis) microarray chip species N (probe molecules) * Within the context of this lecture, the DNA molecule is taken as a representative also for other important bio molecules such as proteins etc, since the biochemical boundary conditions required here can be easily explained by using the example of DNA only and since technical statements concerning CMOS extension etc. apply for other bio molecules as well. Page 5 Page 6 Why Electronic Readout? Entire Manufacturing / Application Chain of Microarrys State-of-the-art commercially available DNA microarrays: optical readout by labeling the target strands with fluorescence marker molecules Opportunities provided by fully electronic readout techniques: Light detector Filter Light (λ 2 ) Sensor area Light (λ 1) Fluorescence marker ( Label ) Basic principle: optical readout techniques Opportunities to operate a CMOS ASIC Sample Sample preparation, PCR,... increased robustness increased user friendliness decreased system cost increased flexibility... Requirement of large arrays: Chip (processed solid state material) Functionalization Packaging Storage Readout Interpretation (i.e. make use of the result) CMOS integration ("large": = sensor sites) ypical result: overlay from a number of experiments (artificial color presentation) or vice versa! Page 7 Page 8 Seite 2

3 Outline DNA Microarray Functionalization echniques... and related application areas 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging DNA synthesis on-chip off-chip Appl. area Diagnostics Spotting Electronic control of in-situ growth Placement controlled by electrophoretic forces Drug research 10 6 Optical control of in-situ growth est sites per chip low medium high Density Page 9 Page 10 Functionalization by Spotting Spotter provides / contains: Pinhead with one or more pins, maneuverable in x-, y-, z- direction, positioning repeatability of order 10 µm Reservoirs (e.g. microplates ) with probe molecules in solutions + washing solution Chips to be functionalized Optionally: Position recognition system Procedure: Pins load solutions from reservoirs and deposit small volumes (of order: 1 nl, various deposition techniques in use) at microarray target positions ~ 1m Example: Affymetrix Arrayer 417 Functionalization by electrophoresis driven movement of off-chip synthesized DNA receptor molecules to their on-chip target position (I) ELECROLYE G CC AG A G C G A G C G already functionalized electrophoretic force application of positive voltage G CC AG +++ under functionalization AG G CC permeation layer noble metal to be funct. in a forthcoming step Noble metal site with permeation layer to permit ion flow and to protect the DNA against damaging electrochemical reactions at the. Al wiring ~150 µm Sensor sites from a 20 x 20 Nanogen array using conventional optical readout. wash station side glass microplates spotting head Page 11 Pinhead with four pins Stealth 48 pin printhead E. Zubritsky, Anal. Chem., 2000, December 1, 72(23), pp. 761A-767A. V. G. Cheung et al., Nat Genet., 1999, January, 21(1 Suppl), pp movies: Page 12. Sosnowski et al, Proc. Natl. acad. Sci. USA, 1997 Nanogen package M. Heller, IEEE Eng. Medicine and Biology Magazine, 1996 Seite 3

4 Optically Driven In-Situ On-Chip DNA Synthesis * * Principle used by Affymetrix, NimbleGen, FeBi After step n Page 13 C A C G Illuminate Wash to un-protect (under illumination) probe strand C A C G protection group C A C G Step n+1 Switch off Provide illumination next base (incl. protection group) C A C G C A C G After step n+1 Let binding take place and wash C A C G C A C G Electrically Driven In-Situ On-Chip DNA Synthesis * * Combimatrix(Seattle, WA), CEA (France) After step n Page 14 C A C G Activate un-protect signal C A C G! protection group Wash (under un-protect switched on) C A C G! Step n+1 Switch off un-protect signal C A C G! Provide next base (incl. protection group) C A C G After step n+1 Let binding take place and wash C A C G C A C G un-protect protect un-protect protect Commercially Available Platforms for In-Situ On-Chip DNA Synthesis Affymetrix system: optical synthesis / optical readout Combimatrix system: electrical synthesis / optical readout CMOS Requirements For Electronically Driven Functionalization Chip must be chemically inert against applied fluidic samples and related compounds and withstand contact with the wet world of biology Introduction of noble metal s / Extension of standard CMOS processes provision of low-frequency logic circuitry handling & switching of large bias signals to operate the s Page 15 Packaged 12k chip Image courtesy of Combimatrix Image courtesy of Affymetrix K. Dill et al., Anal. Chim. Acta, 2001 K. Dill et al., J. Biochem. Biophys. Methods, 2004 Page 16 relaxed requirements concerning CMOS circuit design and CMOS process performance in case CMOS functionality is used for functionalization purposes only requirements concerning electrical readout more challenging! Seite 4

5 Outline 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Extended CMOS Process Options Required for Electronic DNA Microarrays Frequently used approach: CMOS + noble metal + various application specific extensions + various application specific alternatives + Flip-Chip solutions with sensor chip + standard CMOS chip standard CMOS additional passivation (optionally) noble metal (Pt, Au) standard CMOS passivation last CMOS metal Page 17 Page 18 Extended CMOS Process Options Example: Au on Standard 0.5µm, 6 CMOS Process Backend process flow: Deposit & structure resist, deposit i / Pt / Au Etch i/in Deposit i/in barrier, fill W Etch nitride / oxide i/in W Si 3 N 4 SiO 2 Al CMOS SEM photos: Page 19 cross 5 µmsection FOX 5 µm Diffusion HDD Spacer Nitride deposited for preparation Au finger Nitride Etching artifact due to preparation Aluminum 2 Etching artifact due to preparation Aluminum 1 ungsten Gate top view 50 µm Pt i Au Lift-off F. Hofmann et al., IEDM 2002 Au Example CMOS + Au Processing Device / Circuit Properties after Au Processing Gain error [%] Page Simple test circuit used VDD VDD for 100-fold current gain 35 of sensor current with 30 test input sensor bias voltage GND sensor test / cal. enable test / calibration current input E-12 1.E-11 1.E-10 1.E-09 1.E-08 1.E-07 1.E-06 est current [A] Input Current [A] * * * * VSS ** output VSS Specified sensor current: A 10-7 A Insufficient behavior (high leakage currents) due to huge interface state density of > cm -2 Seite 5

6 Example CMOS + Au Processing Device / Circuit Properties after Au Processing + Extra Annealing Steps Definition of a Final Process Window Considering frontend + backend parameters Gain error [%] no anneal VDD VDD VDD VDD H350 C, 2 /N 2, min C, 30 min H400 C, 2 /N 2, min C, 30 min. sensor bias voltage output test / cal. enable GND test // calibration 5 sensor current input VSS VSS E E E E E E E-06-6 Input est current Current [A] [A] Simple test circuit used for 100-fold current gain of sensor current with test input. Specified sensor current: A 10-7 A Sufficient behavior after additional H 2 /N 2 annealing step after Au processing CMOS only (i.e. without Au process) CMOS + Au process, no anneal CMOS + Au process, N2/H2 anneal with 350 C, 30 min CMOS + Au process, N2/H2 anneal with 400 C, 30 min 3 µm 3 µm 3 µm no annealing 350 C, 30 min square resistance Au lines [mω/square] resistance via holes (Al to Au) [mω] square resistance Al 2 lines [mω/square] 1 µm interface state density [1/cm 2 ] ~ ~ < < C, 30 min F. Hofmann et al., IEDM 2002 Page 21 Page 22 Outline 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Overview Classes of Electronic Bio Molecule Detection echniques Quasilabeling-free Nonelectrochemical transduction Electrochemical transduction Labeling based Labeling free Estimated relative amount of chip- or CMOS-related publications. Page 23 Page 24 Seite 6

7 Electrochemical Readout: Coulometry hree-electrode-system electrochemical label molecule, ELECROLYE e.g. ferrocene (H 10 C 10 Fe) target DNA molecule probe molecule not functionalized working i(t) reference counter WE ELECRO- LYE e - C dl e - electrochemical label molecule electron transfer from label displacement current through double-layer capacitance C dl Coulometric Readout with Charge Evaluation ypical signals Example from large area experiment Signal magnitude: Q total / area ~ of order 10 nc / mm 2 I Electrode [ma] Q total / area ~ of order 10 nc / mm Q Electrode [nc] Basic setup Page 25 i 1 (t) working s i n (t) v step (t) potentiostat v step (t) Alternative: step applied by potentiostat in particular interesting for operation of arrays Voltage step leads to oxidation (or reduction) of the label if present at the considered test site Q = I dt step contribution from label contribution from double-layer capacitance time signal offset Result (schematic plot) Page 26 Straight-forward array design Current integration within each sensor site reference counter V step (t) potentiostat ensemble / array of working s C int C int time [ms] 1 mm V out,1 V out,n hardware realization in 3M 2P 0.5µm 5V CMOS + Au sensor site circuits M. Augustyniak et al., ISSCC Electrochemical Readout: Cyclic Voltammetry hree-electrode-system electrochemical label molecule, ELECROLYE e.g. ferrocene (H 10 C 10 Fe) target DNA molecule probe molecule not functionalized working Basic setup i(t) reference counter potentiostat V sweep (t) Voltage is swept from V 1 to V 2 and back, so that a complete redox cycle is performed. Working current is measured and - signal peak current - signal peak-to-peak current - area in between curves ( total charge) is evaluated. Note, that current depends on slew rate of potentiostatinput voltage Cyclic Voltammetry I-V diagram current voltage Electrochemical Readout: Redox-Cycling 4-Electrode System with Interdigitated Working Electrodes generator I gen V gen I col V col = = potentiostat collector counter µm reference DNA probe DNA target + ox substrate enzyme label red width = space =1 µm Page 27 V 2 V 1 of order 1s time V(t) time arget DNA molecule labeled with enzyme molecule (not electrochemically active!) Application of an additional substrate, which is not electrochemically active in the provided form, but can be cleaved by the enzyme into electrochemicallyactive sub-species Page 28 Application of positive and negative voltages of order ±few 100 mv at neighboring s starts redox-cycling (i.e. reduction and oxidation) process Seite 7

8 Redox-Cycling Current [na] Slope [na/s] ypical signals match match match collector generator collector mismatch mismatch generator mismatch mismatch match Characterization time: seconds Evaluated signal: current / time (reason: absolute current value may also consist of time-independent artifact) Required resolution: 1 pa na (under assumptions: - sensor diameter of order 200 µm - width and spacing ~ 1 µm - suitable for wide range of applications) buffer para- flow stopped -320 aminophenyl- phosphate M 2P 0.5 µm 5 V CMOS + Au 95 A. Frey et al., ISCAS 2005 ime [s] Page 29 Sensor Site Circuit with In-Site Current A/D Conversion Detection principle: Redox-Cycling Requirement: Precise measurement of quasi-dc current DNA probe Page 30 DNA target + ox substrate enzyme label red C int sensor regulation loop M res sensor current voltage delay stage comparator V (switching threshold) V ( voltage) switching threshold τ delay τ 2 counter / shift register data out control signals M. Schienleet al., JSSC, 2004 τ 1 time simultaneous data sampling from all sensor sites independent of the number of test sites per array excellent robustness / signal integrity process: 3M 2P 0.5µm 5V CMOS, extended by Au s Labeling-Free Electrochemical Readout Example: Electropolymer Redox Reaction Principle: cyclic voltammetry (3 system) applied to oxidize and reduce an electropolymer (polypyrrole) covering the working Hybridization hinders movement of the chloride counter ions and thus decreases the measured redox currents and related shapes of the cyclic voltammetry curves Labeling-Based Non-Electrochemical Readout Example: Gold Bead Labeling + Silver Precipitation Basis principle: electrolyte sensor with probe molecules Au beads hybridization phase: target molecules labeled with Au beads Ag precipitation application of Ag, Au beads form seed layer to bind Ag formation of Ag layer under continuous Ag provision F. Heer et al., ISSCC 2008 Hybridization-to-electrical signal transduction: - Conductivity measurement between s separated by isolating layer - Impedance (or RF parameter) measurement between isolated s - Optical attenuation (detected by CMOS imager chip) Page 31 Page 32 Seite 8

9 Au Bead Labeling + Ag Precipitation: Signal Generation Conductivity readout probe molecules are immobilized on isolating layer between s conductive Ag layer leads to a sharp decrease of ohmic resistance between the s discrimination " match" / "mismatch" positions requires to consider temporal development Optical attenuation Recent publications from the same group use optical attenuation for that purpose a CMOS camera chip is used Other groups use pure optical setups Electrical current (ma) Silver Enhancement ime (min) Silicon Oxide Metal Readout echniques: Au Bead Labeling + Ag Precipitation Impedance readout probe molecules are immobilized on an isolating layer above capacitors with interdigitated s, coil structures, meanders,... conductive Ag layer leads to a change of impedance / RF parameters of the electrical device discrimination " match" / "mismatch" requires to consider temporal development 2.3 µm Al Schematic cross-section SiO 2 Si 200 µm Al 2 O 3 Page 33 M. Xue et al., IEDM, 2002 (and ISSCC, 2003) (top) J. Li et al., IEDM 2004 (bottom) Page 34 Electrodes covered by silver grains after biological process Spotted meander inductor structure G. Laurent et al., ESSDERC 2003 L. Moreno-Hagelsieb, ESSDERC 2006 Labeling-Free Non-Electrochemical Readout Example: Gravimetric Sensors (FBAR) Mass sensitive sensors (as considered here) are mechanical / electrical oscillating systems. Mass changes at the sensor surface change the oscillation frequency. Basic principle: Added mass m op Piezoelectric material Bottom f 0 : resonance frequency Gravimetric Sensors Example: Film Bulk Acoustic Wave Resonator (FBAR) Basic FBAR echnology Si substrate AlN used as piezoelectric acoustic mirror realized by buried W layers? m deposited on top Flip-Chip Bonding CMOS-to-FBAR Face-to-face flip chip bonding of 0.13 µm standard CMOS and FBAR chip short interconnects between oscillator circuit and resonator Page 35 f f 2f 0 m Aρ v Change of resonance frequency in air (Sauerbrey equation): = high sensitivity requires high f q q o thin-film piezoelectric layers resonating in the GHz range are superior compared to conventional quartz-based sensors operating in the MHz...tens of MHz range Mechanical attenuation: quality factor in liquids (water) is significantly lower as in gases (air)! Page 36 M. Augustyniak et al., ISSCC 2007 Seite 9

10 Gravimetric Sensors FBAR - Oscillator Design Challenge: low Q-factor in water Requirement: precise gain / phase relationship (+5dB / 330 ) at resonance frequency (1.86GHz) Resonator Impedance [W] i experiment model water V V air Frequency [GHz] o FBAR + C Phase [deg] Further Detection echniques Demonstrated on Extended CMOS Chips Impedance (Capacitance) Spectroscopy: - Idea: Hybridization driven capacitance decrease between and electrolyte - Implementation on CMOS: e.g. C. Stagni et al., Univ. Bologna, ISSCC 2006 Other Gravimetric Approaches: (as compared to Bulk-Acoustic-Wave approaches) - Example: Mass increase driven decrease of cantilever oscillation frequency - System implementation on CMOS: K.-U. Kirstein et al., EH Zurich, DAE 2005 Page 37 V V i o circuit Gm1 sc1 sc2 = 1 + Gm2 Gm2 Gm3 M. Augustyniak et al., ISSCC 2007 Page 38 Magnetic Bead Labeling: - GMR-based detection - CMOS implementatio: S.-J. Han et al., UC Berkeley, IEDM, 2006 (and ISSCC 2007)... Labeling-Free Approaches Impedance Method probe C hybridization sensor C target (Literature: Different arrangements / layouts in use) Basic principle C: parameter of interest R: artifact dependent Phase sensitive characterization required to detect the biological information Further Readout echniques / Labeling-Based Approaches Magnetic Bead Labeling target DNA molecule are labeled with magnetic nano-particles after hybridization, magnetic properties are evaluated at the respective sites (e.g. using GMR sensors) today: most proof-of-principles done on post-processed PCBs and other substrates; very recently CMOS integration published C, R, C, R depend on the quality of the layer of probe molecules (method is sensitive to pinholes in that layer) Literature reveals a number of proof-of-principles, but a consistent picture has not yet been achieved (data prone to measurement artifacts?) Active CMOS has the potential to avoid measurement artifacts due to signal processing close to the sensor and may thus help to evaluate the method Page 39 G. Li et al., J. Appl. Physics, 2003 S.-J. Han et al., IEDM, 2006 (and ISSCC 2007) Page 40 Seite 10

11 Outline 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Packaging / Assembly Aspects Packaged electronic biochips require a fluidic and an electrical interface. Interfacing effort in case of optical biochips (fluidic + optical interface) is not higher! Electronic biochips: Cheap and reliablepackaging solution required. Requirements concerning in-package (micro-) fluidics: - laminar flow - bubbles must be avoided (or trapped at predefined positions within package) - detailed requirement catalogue depends on detection method / assay / application Insufficient packaging / micro-fluidic solutions may significantly deteriorate the performance of the entire system. Page 41 Page 42 Chip Assembly Examples: Published Assembly and Packaging Approaches Outline Chip Infineon (until 2005) open system simple fluidic interface PCB-based electrical interface Nanogen Combimatrix fluidic interface with inpackage microfluidics electrical interface with in-card electrical interconnects Siemens (under development) fluidic + electric interface with in-package microfluidics and in-card el. interconnects in-card stored bio-chemical compounds 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Complexity Page 43 Page 44 Seite 11

12 CMOS Chips for Cell Manipulation and Cell Sorting Use of Dielectrophoretic Cages (I) Goal: Highly parallel, individual, non-invasive cell manipulation Applications: - cell counting ( blood analyses) - individual cell isolation ( biopsies) - cell-to-cell interactions ( immune response studies) - compound delivery into cells ( drug development) - tissue assembly -... Page 45 PIXEL CIRCUI ACUAION Approach: CMOS chip to - control AC voltages applied to s (isolated from electrolyte), wich generate together with a conductive lid of the microchamber the required dielectrophoretic forces - monitor the positions of the cells using photodiodes or capacitive sensing SENSING N. Manaresi et al., JSSC, 2003 conductive glass cell / particle standard CMOS passivation CMOS-driven s CMOS Chips for Cell Manipulation and Cell Sorting Use of Dielectrophoretic Cages (II) Setup: chip on PCB chip area array size pitch microchamber - height - volume # of DEP cages VDD actuation - voltages - frequency Page 46 tape A. Romani, ISSCC 2004 ~64mm2 320 x µm µm < µm up to ~ V Clk frequency 20MHz Summary specifications (opt. and cap. sensing chip) 3.3V (ext. applied) 100kHz 10MHz 0.5 mm pitch = 20µm 2mm 3M 2P standard 0.35 µm CMOS Chip under operation Outline Nerve Cell and Neural issue Interfacing Nerve Cells 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Goal: Measurement of action potentials ion channels Action potentials: - are elementary neural signals - are transient changes of the transmembrane voltage - correspond to sodium and potassium ion currents through ion channels in the cell membrane. intracellular Further remarks: - typical cell diameters: µm - steady-state potential of the transmembrane voltage also depends on amount of further ions such as Cl -, Ca ++,..., and on further mechanisms. cell membrane extracellular Na + Na + K + K + cell membrane Na + Na + K + 50mV 2ms Na + conductivity K + conductivity Page 47 Page 48 Seite 12

13 Nerve Cell and Neural issue Interfacing Intracellular / Invasive Recording Patch-Clamping pipette Nerve Cell and Neural issue Interfacing Extracellular Non-Invasive Recording Principle of extracellular recording: Ion currents flowing through cleft between cell and surface of solid state substrate lead to transient changes of cleft voltage with respect to electrolyte bulk potential ρ/d intracellular space membrane cleft solid substrate 3-4 nm ~50 nm Page 49 Setup Direct contact to intracellular space Gold standard in electrophysiology Used to characterize gating characteristics of ion channels Different patch techniques in use Different configurations in use Micropipette manipulator Low throughput ime expensive rained staff required Stable mechanical support obligatory Not capable for multi-site recording! Cleft voltage monitoring techniques: Page 50 cell metal (e.g. Au, Pt,...) on-chip or off-chip amplifier Noble metal -to-electrolyte contact: - contact via Helmholtz layer - non- homogeneous surface dielectric FE junctions cell Electrolyte-Oxide-Semiconductor-FE (EOSFE): - cleft voltage modulates OSFE current - homogeneous (dielectric) surface ypical peak-to-peak cleft voltages: 100µV 5mV = = Nerve Cell and Neural issue Interfacing Non-CMOS Approaches Outline Passive Multi Electrode Arrays (MEAs) with Metal Electrodes: - no active electronic devices on chip - commercially available - transparent substrates - simultaneous sensing and stimulation - approximately 60 test sites per array - pitch of order 200µm - further increase of # of sites / of site density limited by interconnect restrictions Page 51 Alpha MED Sciences Co., Ltd., (subsidiary of Matsushita Electric Industrial Co., Ltd.) EOSFEs: - many proof-of-principles using metal-free processes (i.e. entire wiring in diffusion layer) - simultaneous sensing and stimulation demonstrated - 1D pitch of order few µm - further increase of # of sites / of site density in 2D arrangements limited by interconnect restrictions P. Fromherz, ISSCC 2005 Page Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Seite 13

14 Nerve Cell and Neural issue Interfacing CMOS MEAs with Nobel Metal Electrodes on CMOS 16 8 s, pitch: 250µm 3M 2P 5V 0.6µm CMOS process + 2 mask postprocessing Each site with integrated bandpass Simultaneous sensing and stimulation Fully digital chip interface, USB 2.0 system interface 11k selectable sites, 126 channels (dedicated routing algorithm, selected sites stored in on-chip SRAM) mm 2 array, pitch = 56µm 3M 2P 5V 0.6µm CMOS process extended by Pt s Sensing + stimulation capability User-friendly system integration U. Frey et al., ISSCC 2007 F. Heer et al., ESSCIRC 2005 Nerve Cell and Neural issue Interfacing High-Density 2D Imaging Goal: Pitch of order 10µm or below, total 1mm 2 Approach: Extended EOSFE sensing Challenges: 1. Sensor dielectric - high k / low thickness (ε r = 20 80, t 50 nm) - non-toxic, biocompatible - CMOS compatible - leak-proof - processed at = 400 C 2. Floating node realization impossible: operating point of sensor transistor prone to large uncontrollable processing-induced charging effects! Dielectric 3. Fixed pattern noise (FPN) resulting from sensor transistor σ(v t ) >> signals to be detected Neuron Via Electrode MOSFE Standard CMOS process Page 53 Page 54 High-Density 2D Neural issue Imaging CMOS Chip Design approach: Periodically repeated calibration of pixels to cancel FPN: sensor pixels readout circuitry cal cell cell cell Dynamic Imaging of Single Cells* 128 pixels in a row cal read read I row signal line I select non-select CMOS imager chip for extracellular monitoring of neural tissue with 16k Pixels on 1mm 2 : Page 55 Perspex chamber Chip Ceramic package ~2.5cm ~5mm eststructure Perspex MUX & drivers chamber Readout Chip Capacitors amplifiers Pixel array Column decoder ESD-protection B. Eversmann et al., JSSC, frames per second * Max-Planck-Institute for Biochemistry, Martinsried Page 56 Seite 14

15 Cell-based Secondary Drug Screening First Results Verknüpfung mit Slice-CMOS-V2.lnk Cultured rat hippocampal slice measured with 16 k pixel neural imager chip. Recording area 1 mm x 1 mm. Left column: slice perfused with recording medium containing DNQX and AP5. Right column: slice perfusedwith normal recording medium. Outline 2.4 Electrical Readout echniques 3. Cells and issue 3.3 Neural issue Imaging Page 57 Page 58 Summary CMOS chips for in-vitro biotechnology applications - related to bio molecules as well as to nerve cells and neural tissue - have proven feasibility. For such purposes, CMOS usually requires process extensions which must not deteriorate CMOS frontend properties. Required/used CMOS minimum feature sizes are between 100 nm and 1 µm. From the user's point of view the entire system (including packaging, storage, microfluidics, software,...) must be considered. he full potential of CMOS-based biosensor arrays is still under development as well as appropriate business models. hank you Page 59 Page 60 Seite 15