Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Size: px
Start display at page:

Download "Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply"

Transcription

1 Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics products or services. Internal of personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution must be obtained from the IEEE by writing to

2 Embedded Wafer Level Packages with Laterally Placed and Vertically Stacked Thin Dies Gaurav Sharma, Vempati Srinivas Rao, Aditya Kumar, Nandar Su, Lim Ying Ying, Khong Chee Houe, Sharon Lim, Vasarla Nagendra Sekhar, Ranjan Rajoo, Vaidyanathan Kripesh and John H. Lau* Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) 11 Science Park Road, Singapore Phone: *Now with Hong Kong University of Science & Technology Abstract Two embedded micro wafer level packages (EMWLP) with (1) laterally placed and (2) vertically stacked thin dies are designed and developed. 3D stacking of thin dies is illustrated as progressive miniaturization driver for multi-chip EMWLP. Both the developed packages have dimensions of 10mm 10mm 0.4mm and solder ball pitch of 0.4mm. As part of the work several key processes like thin die stacking, 8 inch wafer encapsulation using compression molding, low temperature dielectric with processing temperature less than 200 C have been developed. The developed EMWLP components successfully pass 1000 air to air thermal cycling (-40 to 125 C), unbiased highly accelerated stress testing (HAST) and moisture sensitivity level (MSL3) tests. Developed EMWLP also show good board level TC (> 1000 cycles) and drop test reliability results. Integration of thin film passives like inductors and capacitors are also demonstrated on EMWLP platform. Developed thin film passives show a higher Q factor when compared to passives on high resistivity silicon platform. Thermo-mechanical simulation studies on developed EMWLP demonstrate that systemic control over die, RDL and package thicknesses can lead to designs with improved mechanical reliability. Introduction Today consumer electronics comprise 50 % of the total integrated circuit revenue [1]. Hand held consumer electronics mandate small form factor and footprint area electronic packages. In consumer electronic applications, like cell phone, passives constitute 80 % of components. In cell phones, passives occupy 80 % of circuit board area and contribute 70 % of product assembly cost [1]. Thin film passives integrated with- in the package redistribution layer structure can replace discrete integrated passive devices (IPD) which can lead to progressively miniaturized mobile phone packages. System in Package is a packaging technology platform that enables integration of more than one active electronic component of different functionality plus thin film passives and other devices like MEMS or optical components [1]. Embedded Micro Wafer Level Package is a packaging technology that enables development of low form factor multi-die packages [2]. Multi-die EMWLP combined with thin film passives integration can provide SiP solutions. In addition to providing SiP solutions EMWLP technology also possesses several advantages over the conventional wafer level packages (WLP). EMWLP provides multi-die packaging solution where as WLP is restricted to a single die. EMWLP process flow is known good die (KGD) based which, results in better wafer level yields in packaging. EMWLP also leads to fan out interconnect fabrication which, unlike in WLP, can exceed the die foot print area [3]. In WLP high pin count devices can be achieved by increasing the silicon chip size. However, increasing chip size is a large cost adder in the front end. In EMWLP the mold compound material can be used for fabrication of fan out interconnects which is a much more economical way for increasing pin count. In microelectronic developments the shrinkage of pitches and pads at the chip/package interface is much faster than the shrinkage at the package/board interface which leads to the interconnect gap problem in packaging [1]. EMWLP provides a cost economical way to increase the package/board pin count thus addressing the interconnect gap problem. In literature, some EMWLP configurations have been reported. Keser et al have reported a chip embedding technology named as redistributed chip package (RCP) where in complete radio-in-package using RCP was demonstrated [4]. Brunnbauer et al have demonstrated a single chip embedded device technology solution [3, 5]. Kripesh et al have shown fabrication and process flow results on a 3D stacked embedded wafer level package with three thin die stacking [6]. In this study two multi-chips EMWLP with (1) laterally placed and (2) vertically stacked thin dies have been designed and developed. The developed multi-die packages have dimensions of 10 mm 10 mm 0.4mm and solder ball pitch of 0.4 mm. EMWLP with laterally placed dies and vertically stacked dies have 206 and 350 I/O respectively. As part of this study key processes like wafer thinning with copper pillars, wafer level compression molding, thin die stacking and low temperature dielectric processes have been developed. Several materials like mold compounds with different coefficients of thermal expansion (CTE) and filler contents, molding tapes, dicing die attach films, low temperature dielectric materials have been evaluated and the associated processes are optimized. The entire package fabrication is carried out using wafer level front end processes. The developed packages demonstrate good temperature cycling, MSL3, HAST and drop test reliability results. Thin film passives fabrication on EMWLP platform is also demonstrated. Simulation of thermo-mechanical reliability of EMWLP test vehicles shows that systemic control over package design parameters like die, RDL and package thickness can provide design guidelines to improve mechanical reliability of EMWLP being developed. Mechanical Modeling & Design of EMWLP Simulation of thermo-mechanical reliability of fabricated test vehicles was carried out using finite element analysis. Figure 1 shows the FEA models for two EMWLP configurations. The environmental condition for the temperature cycle test /09/$ IEEE Electronic Components and Technology Conference

3 was set at between -40 C to 125 C and run continuously for five cycles. Creep energy strain density ( W) which is also known as plastic work is the parameter that is used to compute the number of cycles to failure [7]. For both the test vehicles it is found that joint failure is predicted to occur between solder ball and substrate. The predicted solder joint to fail first is the solder ball joint that is closest to the die. This is attributed to the die edge affect which arises due to high local CTE mismatch adjacent to the die [8]. For both the above test vehicles W plot predicts almost similar number of cycles to solder joint failure. Hence detailed investigation of package design parameter variation on package reliability is carried out for only EMWLP test vehicle 1. RDL, dielectric and package thicknesses were varied to investigate their effect on the package reliability. The RDL is modeled as copper metal and the thickness is varied from 3 to 15 m, which leads to a 25 % decrease in W at the first solder joint failure and hence improves the reliability of the packages. On the other hand when the dielectric thickness was increased from 8 to 20 m it leads to a 50 % increase in W thus reducing the reliability of the packages. A decrease in package thickness also leads to decrease in W thus improving the reliability of the packages. Thinner packages are relatively more flexible and hence lead to less strain on the solder ball joint during thermal cycling thus improving the reliability of the package [8]. Based on the modeling results low dielectric and relatively higher RDL layer thicknesses are chosen in package design and fabrication. 5x5x0.3mm die Copper pad on die Solder bump 7x7x0.3mm die Die attach Copper posts 5x5x0.1mm die RDL + dielectric layer Solder bump FR-4 board RDL + dielectric layer FR-4 board Figure 1: Finite element analysis models for thermomechanical modeling for EMWLP (top) test vehicle 1 and (bottom) test vehicle 2 Process Flow Figure 2 (a) and (b) show a schematic of the EMWLP that are being developed. In figure 2 (a) package schematic multichip integration is achieved by lateral placement of dies. In figure 2 (b) package schematic multi- chip integration is achieved by 3D stacking. To reduce the overall thickness of the package thin dies are fabricated and packaged. For the EMWLP with laterally placed dies the two fabricated die sizes are 5 mm 5 mm 0.3 mm and 1.5 mm 1.2 mm 0.3 mm. For the EMWLP with stacked dies the die sizes are 5 mm 5 mm 0.1 mm & 7 mm 7 mm 0.3 mm. For stacked die package copper pillars are electroplated to enable 3D stacking and I/O formation. The interconnects from both chips of the stacked module are brought to the same plane Chip 2 Chip 1 (b) Chip 1 Dicing Die Attach Film Figure 2: Schematic showing the EMWLP with (a) laterally placed and (b) vertically stacked dies. In (a) chip 1 and chip 2 are 5 mm 5 mm 0.3 mm and 1.5 mm 1.2 mm 0.3 mm respectively. In (b) chip 1 and chip 2 are 5 mm 5 mm 0.1 mm and 7 mm 7 mm 0.3 mm respectively. The dimensions of both package components are 10 mm 10 mm 0.4 mm. using different heights of copper pillar interconnects on different chips. Figure 3 shows an overview of the chip wafer fabrication process. As shown in proces steps (I) and (II) the basic die layout comprises of aluminum daisy chain structure passivated with SiO 2. For EMWLP with laterally placed dies the die wafers are back grinded to thickness of 300 um. As shown in process steps (III) and (IV) for EMWLP with vertically stacked dies the 5 mm 5 mm & 7 mm 7 mm die wafers are electroplated with copper pillars of different heights and then are back grinded to the required thicknesses of 100 um and 300 um respectively. The copper pillar heights and chip thicknesses are decided by package design requirements. Figure 4 shows an overview of the EMWLP fabrication process. Process steps (I) and (II) are only required for EMWLP with vertically stacked dies. (I) The 5 mm thin die wafer is laminated with Dicing Die Attach Film (DDAF) and then diced. (II) The 7 mm thin die wafer is diced separately and the 5 mm dies are stacked on top of the 7 mm dies. (III) The dies and die stacks are then picked and placed on a two adhesive layer moulding tape using wafer level pick and place process. For EMWLP with laterally placed dies the thin wafer die is singulated and dies are then picked and placed on a molding tape. To achieve robust pick and place and wafer moulding process the back side of the moulding tape is laminated on to a silicon support wafer. The molding tape features thermo- release properties, which allows removal of tape after molding. (IV) Pick and place process is followed by wafer level compression molding. The compression molding process is optimized for wafer warpage by using different granular mold compound materials that have different filler contents and CTE. (V) After compression molding the support wafer and dicing ring support are removed. This is followed by post mold cure of the mold compound wafer. (VI) For EMWLP with vertically stacked (a) Chip 2 Logic Chip Electronic Components and Technology Conference

4 dies mold wafer grinding is employed to expose the copper pillars. For EMWLP with laterally placed dies this step is not required since molding tape removal exposes the chip I/O pads on which RDL can be fabricated. (VII) Wafer molding is followed by redistribution layer processing to fabricate the fan out interconnects. Since the molded wafer decomposes at temperatures > 200 C low temperature dielectric process is developed. Two low temperature dielectric materials from separate manufacturers are evaluated that have processing (I) (III) (IV) Figure 3: Process flow for chip wafer fabrication. (I) Thermal SiO 2 growth and aluminum deposition and patterning for daisy chain fabrication. (II) SiO 2 deposition and patterning for daisy chain passivation. (III) Copper seed layer deposition and dry film lamination and patterning. (IV) Copper pillar electroplating and wafer back grinding. (II) temperature ranging from C. The RDL process is followed by conventional solder ball placement process. The EMWLP are then assembled on Printed Circuit Board, & reflowed. The package components are subjected to air to air thermal cycling (-40 to 125 C), MSL3 and HAST tests. The package components assembled on PCB also undergo air to air thermal cycling (-40 to 125 C) and drop tests. Reliability assessment is carried out using daisy chain resistance and chain continuity measurements. Scanning acoustic microscopy is employed to assess delamination and voids in EMWLP. EMWLP with Laterally Placed Thin Dies Figure 5 below shows 8 inch mold wafer with two adjacent embedded thin dies. Excellent wafer warpage control during wafer molding can be achieved. Different granular mold compounds that have different coefficients of thermal expansion ranging from 8 to 20 ppm are evaluated as molding materials. The molding temperature is also varied from 125 to 175 C to evaluate its affect on wafer mold-ability and warpage. The lowest CTE mold compound and a molding temperature of 150 C yields best mold-ability and warpage results. Figure 6 shows a magnified view of the embedded dies in the mold compound. The two adjacent dies have dimensions of 5 mm 5 mm and 1.5 mm 1.2 mm. (I) (II) Support wafer Molding tape Dicing ring (III) Figure 5: 8 inch mold compound wafer with laterally placed thin dies that are embedded in mold compound (IV) (VI) (VII) Figure 4: Overview of the EMWLP fabrication process. (I) Lamination of DDAF on small die & singulation. (II) 3D stacking of small die on big die. (III) Wafer level pick & place of dies on support wafer which is laminated with molding tape. (IV) Wafer level compression molding. (V) Removal of molding tape and support wafer. (VI) Grinding of mold compound wafer to expose copper pillars. (VII) RDL fabrication & solder ball placement. (V) After the wafer molding process a two layer redistribution layer is fabricated on the mold compound wafer. For fabrication of RDL layer on the mold compound low temperature dielectric materials having processing temperature of C are utilized. The adhesion strength of dielectric materials is evaluated using tape peel tests. The RDL stack comprises of dielectric/copper/dielectric/under Bump Metallization (UBM) layers. Ti/Cu layers are used as UBM. To achieve thick Cu layer electroplated copper is used. Figure 7 shows an individual package component with fabricated RDL. Figure 8 (a) and 7 (b) show an individual package component after the solder ball attach process. The lead free solder ball composition in weight % is Sn Ag 0.1 Cu In 0.04 Ni. The peak reflow temperature used during the reflow Electronic Components and Technology Conference

5 Figure 6: Laterally placed thin dies after wafer level molding process. The small and big dies have dimensions of 1.5 mm 1.2 mm and 5 mm 5 mm respectively. (a) (b) Figure 8: (a) EMWLP component after solder ball placement. (b) A close up view of I/O pads on chip after solder ball placement process. Figure 7: EMWLP component with laterally placed dies after the redistribution layer process. profile is 245 C and the dwell time above 220 C eutectic temperature is 40 seconds. The package components are made to undergo air to air thermal cycling from -40 to 125 C. Daisy chain continuity measurements show no failure after 1000 cycles. The package components also successfully pass the unbiased highly accelerated stress test at 130 C, 85 % relative humidity for 96 hours and moisture sensitivity level 3 (MSL3) test at 260 C reflow. Scanning acoustic microscopy in both through and surface scan mode shows no RDL delamination or voids in the package components after TC, HAST and MSL3 tests. Figure 9 shows a single package component being assembled on the PCB. The package components assembled on board are then subjected to drop tests based on JESD22-B111 standard. Daisy chain continuity measurements on the assembled package qualify the package to pass > 30 drops. The package components assembled on board are also being subjected to air to air -40 to 125 C thermal cycling. However, it is determined that underfill is necessary to achieve good board level TC reliability. Underfill NAMICS XS C is employed and the samples have passed 1000 cycles with out any failure. EMWLP with Vertically Stacked Dies For developing the EMWLP with vertically stacked dies some of the key processes that were developed are: thinning of wafers that had electroplated copper pillars. Uniform electroplated copper pillar heights and suitable back grinding tape is required to ensure that wafer thinning can be completed with-out wafer breakage during the wafer back grinding process. Uniform electroplating is achieved by using low current density electroplating and rack type wafer electroplating cell configuration. Copper pillars during wafer thinning are protected using UV curable Adwill E-8320 back grinding tape. As shown in figure 4 on EMWLP process flow, die stacking is achieved using dicing die attach films. The DDAF is laminated onto the small die and then diced. Dicing feed and speed are optimized to achieve minimum chipping of silicon die and whisker formation in the DDAF. The smaller 5 mm die is then stacked on top of the 7 mm die. Figure 10 shows a stacked structure with the two thin dies. During the stacking process the bond force, bond time and bond temperature parameters are optimized to achieve void free and uniform bond line DDAF thickness. For DDAF A bond temperature of 120 C, bond force 2.5 Kg and bond time of Electronic Components and Technology Conference

6 Figure 10: Two thin stacked dies with copper pillars. Stacking is achieved using dicing die attach film. The top and the bottom dies have dimensions as 5mm 5mm 0.1mm and 7mm 7mm 0.3mm respectively. The DDAF has bond line thickness of 0.025mm. Figure 9: Shows a single EMWLP assembled on the printed circuit board. EMWLP has two embedded dies. second leads to void free and uniform bond line thickness die attachment. For DDAF B the optimum bond temperature, bond force and bond time are 130 C, 2.5 Kg and 3 seconds respectively. Since the DDAF becomes an integral part of EMWLP with stacked dies the DDAF is qualified to pass the HAST and MSL3, TC tests. DDAF A and B also demonstrate good shear strength of Kg/mm 2 before and after the MSL3 and HAST tests. The two die stack is then placed on a molding tape using wafer level pick and place processes. The molding tape has adhesive on both the sides. One side adhesive is of thermal release type that leads to drastic drop in adhesive strength when heated to a certain temperature. Thus after the molding process the molded wafer with embedded dies can easily be removed using the thermal release method. The other side adhesive is pressure sensitive type which is used to attach a support wafer during molding. After carrying out pick and place of the die stack on the molding tape with the support wafer the wafer undergoes compression molding process. Molding is carried out using granular mold compounds that have different filler contents and coefficients of thermal expansion. Wafer warpage and die shift are two major problems encountered during the wafer molding process. The lowest CTE mold compound leads to both reductions in warpage and die shift. We have evaluated different grades of molding tapes that have different thermal release temperatures and adhesive strengths. The thermal release temperatures range from 120 to 200 C. The highest thermal release temperature molding tape has highest associated adhesive strength. Using the highest adhesive strength tape leads to reduction in die shift during the wafer molding process. Reducing the molding temperature also Figure 11: Vertically stacked dies after mold wafer grinding process to expose the copper pillars. Three rows of copper pillars from the inner die and one row of copper pillar from outer die are visible. leads to reduction in die shift during wafer molding. Molding temperatures ranging from 125 to 175 C were evaluated. 150 C molding temperature was found to reduce die shift and also lead to excellent mold-ability. After the molding and tape release process post mold cure is carried out. For EMWLP with vertically stacked dies mold wafer grinding is carried out to expose the copper pillars. Figure 11 shows two dies embedded inside the mold compound with the exposed copper pillars. After exposing the copper pillars RDL is fabricated on the copper pillar structure. Figure 12 shows the RDL fabricated on the two dies that are embedded inside the mold compound. Reliability assessment of EMWLP with vertically stacked dies is currently undergoing Electronic Components and Technology Conference

7 Figure 12: EMWLP component with vertically stacked dies after the RDL layers have been fabricated. Thin Film Passives on EMWLP Platform Thin film passives were fabricated on EMWLP platform. Figure 13 shows an inductor and capacitor fabricated on mold compound wafer. The passives have a stack up structure of four layers namely: metal 1 (5 m) / dielectric 1 (5 m) / metal 2 (8 m) / dielectric 2 (2 m). Copper is used as the metal in the stack up. A low temperature dielectric with dielectric constant of 2.6 and loss tangent of is used for fabrication of thin film passives. The substrate mold compound wafer has loss tangent and dielectric constant values of and 4.3 respectively. The mold compound wafer thickness is 500 micron. The usage of such low loss materials in passive fabrication leads to superior electrical performance when compared to passives fabricated on high resistivity silicon wafers. For similar inductance values the inductors demonstrate a 100 % improvement in Q factor over inductors fabricated on high resistivity silicon wafers. (a) (b) Figure 13: An (a) inductor and (b) capacitor fabricated on the mold compound wafer. Conclusions & Recommendations EMWLP with laterally placed and vertically stacked dies are designed and developed as part of this study. The entire package fabrication has been carried out using wafer level front end silicon manufacturing technologies. Some of the important results are summarized as following: (1) For successful EMWLP fabrication the most critical process is wafer level molding, which was optimized in this study. (2) The developed EMWLP components are demonstrated to have passed HAST, MSL3, drop and temperature cycling (1000 cycles) tests. (3) Thin film passives integration employing low temperature dielectrics on EMWLP platform is also successfully demonstrated. (4) The fabricated passives demonstrate superior electrical performance when compared to passives fabricated on high resistivity silicon, which can be attributed to low electrical loss properties of dielectric and mold compound materials. (5) It is shown that the developed EMWLP platform can not only integrates multiple dies but also thin film passives thus enabling a SiP solution. (6) The EMWLP with 3D chip stacking demonstrates the potential of 3D stacking based EMWLP as a driver for progressive package miniaturization. (7) The low electrical loss properties of the developed EMWLP system in this study would enable applications of EMWLP in high frequency applications. (8) Thermo-mechanical reliability modeling using finite element analysis demonstrates that systemic control over die, RDL and package thicknesses can lead to package designs with improved mechanical reliability. (9) Following material and process combinations are recommended for the achievement of low warpage and die shift during wafer level molding: (a) low CTE mold compound (b) moderate molding temperature of 150 C, and (c) high adhesive strength molding tape. Acknowledgments The authors would like to gratefully acknowledge funding for this work by industry consortium members under the 9th Electronic Package Research Consortium the members of which are Asahi Glass Co. Ltd, ASM Technology Singapore Pte Ltd, Hynix Semiconductor Inc., Infineon Technologies Asia Pacific Pte Ltd, Ibiden Singapore Pte Ltd, Kinergy Limited, Nitto Denko (Singapore) Pte Ltd, NXP Semiconductors, Samsung Electro-Mechanics Co Ltd, Sumitomo Bakelite Singapore Pte Ltd, Victrex PLC and the Institute of Materials Research & Engineering. Special thanks to Sumitomo Bakelite for mold compound and dielectric materials, Ashai Glass Company for dielectric materials and Nitto Denko for mold compound and molding tape materials support to our technology development efforts. References 1. See 2. Keser, B, The Redistributed Chip Package: A Breakthrough for Advanced Packaging, Proc 57thElectronic Components and Technology Conf, Reno, NV, May. 2007, pp Brunnbauer, M, An Embedded Device Technology Based on a Molded Reconfigured Wafer, Proc 56th Electronic Components and Technology Conf, San Diego, CA, May. 2006, pp Keser, B, Advanced Packaging : The Redistributed Chip Package, IEEE Trans Adv Pckg, Vol. 31, No. 1 (2008) pp Electronic Components and Technology Conference

8 5. Brunnbauer, M, Embedded Wafer Level Ball Grid Array (ewlb), Proc 8 th Electronics Packaging Technology Conf, Singapore, Dec. 2006, pp Kripesh, V, Design and Development of a Multi-Die Embedded Wafer Level Package, Proc 58 th Electronic Components and Technology Conf, Orlando, FL, May. 2008, pp Syed, A, Accumulated creep strain and energy density based thermal fatigue life prediction models for SnAgCu solder joints, Proc 54 th Electronic Components and Technology Conf, Las Vegas, NV, Feb. 2004, pp Tee, T, Y, Board level solder joint reliability modeling and testing of TFBGA packages for telecommunication applications, Microelectron Reliab,43 (2003) pp ` Electronic Components and Technology Conference

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages by Ming-Che Hsieh STATS ChipPAC Taiwan Co. Ltd. Copyright 2013. Reprinted from 2013 International Microsystems,

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages by Seng Guan Chow, Yaojian Lin, Bernard Adams * and Seung Wook Yoon** STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

RF System in Packages using Integrated Passive Devices

RF System in Packages using Integrated Passive Devices RF System in Packages using Integrated Passive Devices by Kai Liu, YongTaek Lee, HyunTai Kim, Gwang Kim, and Billy Ahn STATS ChipPAC 1711 W. Greentree Drive, Suite #117, Tempe, AZ 85284, USA Tel: 480-222-1722

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS B. Rogers, M. Melgo, M. Almonte, S. Jayaraman, C. Scanlan, and T. Olson Deca Technologies, Inc 7855 S. River Parkway,

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

The Development of a Novel Stacked Package: Package in Package

The Development of a Novel Stacked Package: Package in Package The Development of a Novel Stacked Package: Package in Package Abstract Stacked die Chip Scale Packages (CSPs) or Fine-pitch BGAs (FBGAs) have been readily adopted and integrated in many handheld products,

More information

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012 EPRC 12 Project Proposal 3D Embedded WLP 15 th August 2012 Motivation Factors driving IC market Higher density, lower cost, high yield Fan-out WLP/eWLP advantages Small footprint, low profile Low cost,

More information

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau*

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau* Page 1 of 9 Design for Plastic Ball Grid Array Solder Joint Reliability The Authors S.-W. R. Lee, J. H. Lau* S.-W. R. Lee, Department of Mechanical Engineering, The Hong Kong University of Science and

More information

Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package

Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package 1 Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package Yong Han, Boon Long Lau, Boo Yang Jung, Xiaowu Zhang, Senior Member, IEEE Abstract As the embedded wafer-level packaging

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Advanced Packaging Business Development Asia Introduction to Fan-Out WLP Introduction World of mobile gadgetry continues to rapidly evolve

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration 2017 IEEE 67th Electronic Components and Technology Conference First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan

More information

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Bhavesh Varia 1, Xuejun Fan 1, 2, Qiang Han 2 1 Department of Mechanical Engineering Lamar

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Solder joint reliability of cavity-down plastic ball grid array assemblies

Solder joint reliability of cavity-down plastic ball grid array assemblies cavity-down plastic ball grid array S.-W. Ricky Lee Department of Mechanical Engineering, The Hong Kong University of Science and, Kowloon, Hong Kong John H. Lau Express Packaging Systems, Inc., Palo Alto,

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY Steven Perng, Tae-Kyu Lee, and Cherif Guirguis Cisco Systems, Inc. San Jose, CA, USA sperng@cisco.com Edward S. Ibe Zymet, Inc. East Hanover,

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions by Seung Wook Yoon and Meenakshi Padmanathan STATS ChipPAC Ltd. Seungwook.yoon@statschippac.com Andreas Bahr Infineon

More information

THROUGH-SILICON interposer (TSI) is a

THROUGH-SILICON interposer (TSI) is a Study on Low Warpage and High Reliability for Large Package Using TSV-Free Interposer Technology Through SMART Codesign Modeling Fa Xing Che, Masaya Kawano, Mian Zhi Ding, Yong Han, and Surya Bhattacharya

More information

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C EPRC 12 Project Proposal Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C 15 th August 2012 Page 1 Motivation Increased requirements of high power semiconductor device

More information

Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY Such permission of the IEEE does not

Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY Such permission of the IEEE does not Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY 2008. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute

More information

JOINT INDUSTRY STANDARD

JOINT INDUSTRY STANDARD JOINT INDUSTRY STANDARD AUGUST 1999 Semiconductor Design Standard for Flip Chip Applications ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Semiconductor Design Standard for Flip Chip Applications About

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

IEEE. Proof. RECENTLY, embedded wafer-level packaging (ewlp) is

IEEE. Proof. RECENTLY, embedded wafer-level packaging (ewlp) is TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY Investigation on Die Shift Issues in the -in Wafer-Level Compression Molding Process Lin Bu, Siowling Ho, Sorono Dexter Velez, Taichong

More information

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong White Paper Quality and Reliability Challenges for Package on Package By Craig Hillman and Randy Kong Background Semiconductor technology advances have been fulfilling Moore s law for many decades. However,

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications June 12 to 15, 2011 San Diego, CA A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications Mike Slessor Rick Marshall (MicroProbe, Inc.) Vertical MEMS for Pre-Bump Probe Introduction:

More information

Solder joint reliability of plastic ball grid array with solder bumped flip chip

Solder joint reliability of plastic ball grid array with solder bumped flip chip ball grid array with solder bumped Shi-Wei Ricky Lee Department of Mechanical Engineering, The Hong Kong University of Science and, Kowloon, Hong Kong John H. Lau Express Packaging Systems, Inc., Palo

More information

Development of Super Thin TSV PoP

Development of Super Thin TSV PoP Development of Super Thin TSV PoP by Seung Wook Yoon, *Kazuo Ishibashi, Shariff Dzafir, Meenakshi Prashant, Pandi Chelvam Marimuthu and **Flynn Carson STATS ChipPAC Ltd. 5 Yishu n Street 23, Singapore

More information

HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE

HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE HOW THE MOLD COMPOUND THERMAL EXPANSION OVERRULES THE SOLDER COMPOSITION CHOICE IN BOARD LEVEL RELIABILITY PERFORMANCE AUTHORS: B. VANDEVELDE, L. DEGRENDELE, M. CAUWE, B. ALLAERT, R. LAUWAERT, G. WILLEMS

More information

System-in-Package Research within the IeMRC

System-in-Package Research within the IeMRC LANCASTER U N I V E R S I T Y Centre for Microsystems Engineering Faculty of Applied Sciences System-in-Package Research within the IeMRC Prof. Andrew Richardson (Lancaster University) Prof. Chris Bailey

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Henry M.W. Sze, Marc Papageorge ASAT Limited 14th Floor, QPL Industrial Building, 138 Texaco Road, Tseun Wan, Hong

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Raghunandan Chaware, Ganesh Hariharan, Jeff Lin, Inderjit Singh, Glenn O Rourke, Kenny Ng, S. Y. Pai Xilinx Inc.

More information

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd Advancements In Packaging Technology Driven By Global Market Return M. G. Todd Electronic Materials, Henkel Corporation, Irvine, California 92618, USA Recently, the focus of attention in the IC packaging

More information

Failure Analysis for ewlb-packages Strategy and Failure Mechanisms

Failure Analysis for ewlb-packages Strategy and Failure Mechanisms Company Logo Failure Analysis for ewlb-packages Strategy and Failure Mechanisms Florian Felux Infineon Technologies AG Neubiberg, Germany Purpose Demonstration of adaption and application of various analysis

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

Accurate Predictions of Flip Chip BGA Warpage

Accurate Predictions of Flip Chip BGA Warpage Accurate Predictions of Flip Chip BGA Warpage Yuan Li Altera Corporation 11 Innovation Dr, M/S 422 San Jose, CA 95134 ysli@altera.com, (48)544-758 Abstract Organic flip chip BGA has been quickly adopted

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

A New 2.5D TSV Package Assembly Approach

A New 2.5D TSV Package Assembly Approach A New 2.5D TSV Package Assembly Approach Yuan Lu 1,2, Wen Yin 1,2, Bo Zhang 1,2, Daquan Yu 1,2, Lixi Wan 2, Dongkai Shangguan 1,2 Guofeng Xia 3, Fei Qin 3, Mao Ru 4, Fei Xiao 4 1 National Center for Advanced

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

Nanium Overview. Company Presentation

Nanium Overview. Company Presentation Nanium Overview Company Presentation Nanium Overview Our name and logo nano prefix of Greek origin referring to small objects ium suffix of Latin origin that includes the formation of scientific terms

More information

Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project

Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project Version #1.0 Date: April 22, 2016 Project Leader: Billy Ahn, STATS ChipPAC Co-Project Leader: Anthony Yang, Moldex3D inemi Staff:

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

Selection and Application of Board Level Underfill Materials

Selection and Application of Board Level Underfill Materials Selection and Application of Board Level Underfill Materials Developed by the Underfill Materials Design, Selection and Process Task Group (5-24f) of the Assembly and Joining Committee (5-20) of IPC Supersedes:

More information

Mechanical Behavior of Flip Chip Packages under Thermal Loading

Mechanical Behavior of Flip Chip Packages under Thermal Loading Mechanical Behavior of Flip Packages under Thermal Loading *Shoulung Chen 1,2, C.Z. Tsai 1,3, Nicholas Kao 1,4, Enboa Wu 1 1 Institute of Applied Mechanics, National Taiwan University 2 Electronics Research

More information

Compression molding encapsulants for wafer-level embedded active devices

Compression molding encapsulants for wafer-level embedded active devices 2017 IEEE 67th Electronic Components and Technology Conference Compression molding encapsulants for wafer-level embedded active devices Wafer warpage control by epoxy molding compounds Kihyeok Kwon, Yoonman

More information

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology by Kang Chen, Jose Alvin Caparas, Linda Chua, Yaojian Lin and *Seung Wook Yoon STATS ChipPAC Ltd. 5 Yishun Street

More information

Next Gen Packaging & Integration Panel

Next Gen Packaging & Integration Panel Next Gen Packaging & Integration Panel ECTC 2012 Daniel Tracy, Sr. Director Industry Research & Statistics SEMI May 29, 2012 Packaging Supply Chain Market Trends Material Needs and Opportunities Market

More information

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution by Jacinta Aman Lim and Vinayak Pandey, STATS ChipPAC, Inc. Aung Kyaw Oo, Andy Yong, STATS ChipPAC Pte. Ltd. Originally published

More information

AS MOORE predicted in 1965, silicon chips are getting

AS MOORE predicted in 1965, silicon chips are getting IEEE TRANSACTIONS ON ADVANCED PACKAGING 1 Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps Cheryl S. Selvanayagam, John H. Lau, Fellow,

More information

MEPTEC Semiconductor Packaging Technology Symposium

MEPTEC Semiconductor Packaging Technology Symposium MEPTEC Semiconductor Packaging Technology Symposium Advanced Packaging s Interconnect Technology Process Shift and Direction October 23, 2014 Jay Hayes- Director of Business Development -Bumping and Flip

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr February 2012 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

Development of Next-Generation ewlb Packaging

Development of Next-Generation ewlb Packaging Development of Next-Generation ewlb Packaging by Seung Wook Yoon, Yaojian Lin, Pandi Chelvam Marimuthu and *Rajendra Pendse STATS ChipPAC Singapore *Fremont, California USA Ganesh V. P, Andreas Bahr and

More information

Molding materials performances experimental study for the 3D interposer scheme

Molding materials performances experimental study for the 3D interposer scheme Minapad 2014, May 21 22th, Grenoble; France Molding materials performances experimental study for the 3D interposer scheme Y. Sinquin, A. Garnier, M. Argoud, A. Jouve, L. Baud, J. Dechamp, N. Allouti,

More information

System Level Effects on Solder Joint Reliability

System Level Effects on Solder Joint Reliability System Level Effects on Solder Joint Reliability Maxim Serebreni 2004 2010 Outline Thermo-mechanical Fatigue of solder interconnects Shear and tensile effects on Solder Fatigue Effect of Glass Style on

More information

Henkel Enabling Materials for Semiconductor and Sensor Assembly. TechLOUNGE, 14 November 2017

Henkel Enabling Materials for Semiconductor and Sensor Assembly. TechLOUNGE, 14 November 2017 Henkel Enabling Materials for Semiconductor and Sensor Assembly TechLOUNGE, 14 November 2017 Content Brief HENKEL Introduction and ELECTRONICS Focus Areas Innovative Semiconductor and Sensor Assembly Solutions

More information

Assembly Reliability of TSOP/DFN PoP Stack Package

Assembly Reliability of TSOP/DFN PoP Stack Package As originally published in the IPC APEX EXPO Proceedings. Assembly Reliability of TSOP/DFN PoP Stack Package Reza Ghaffarian, Ph.D. Jet Propulsion Laboratory, California Institute of Technology Pasadena,

More information

Next Generation ewlb (embedded Wafer Level BGA) Packaging

Next Generation ewlb (embedded Wafer Level BGA) Packaging Next Generation ewlb (embedded Wafer Level BGA) Packaging by Meenakshi Prashant, Kai Liu, Seung Wook Yoon Yonggang Jin, Xavier Baraton, S. W. Yoon*, Yaojian Lin*, Pandi C. Marimuthu*, V. P. Ganesh**, Thorsten

More information

Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology

Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology by J. Osenbach 1, S. Emerich1, L. Golick1, S. Cate 2, M. Chan3, S.W. Yoon 3, Y.J. Lin 4 & K. Wong 5, 1LSI Corporation

More information

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H.

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H. Page 1 of 9 Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* The Authors S.-W. Lee, J.H. Lau** S.-W. Lee, Center for Advanced Engineering

More information

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING Amy Palesko SavanSys Solutions LLC Austin, TX, USA amyp@savansys.com ABSTRACT Although interest in wafer level packaging has

More information

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications M. Gonzalez 1, B. Vandevelde 1, Jan Vanfleteren 2 and D. Manessis 3 1 IMEC, Kapeldreef 75, 3001, Leuven,

More information

Basic Project Information. Background. Version: 2.0 Date: June 29, Project Leader: Bart Vandevelde (imec) inemi Staff: Grace O Malley

Basic Project Information. Background. Version: 2.0 Date: June 29, Project Leader: Bart Vandevelde (imec) inemi Staff: Grace O Malley inemi Statement of Work (SOW) Packaging TIG Impact of Low CTE Mold Compound on 2nd Level Solder Joint Reliability Project, Phase 2 (Experimental build and testing) Version: 2.0 Date: June 29, 2015 Project

More information

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany INTRODUCTION Modern microelectronic products require packages that address the driving forces of reduced size and weight, as well as increased performance at high frequencies. Flipchip and direct chip

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Jae-Won Jang* a, Kyoung-Lim Suk b, Kyung-Wook Paik b, and Soon-Bok Lee a a Dept. of Mechanical Engineering, KAIST, 335 Gwahangno

More information

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test 1,2 Tan Cai

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond

Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond B. Majeed, P. Soussan, P. Le Boterf 1, P. Bouillon 1 Imec Kapeldreef 75, Leuven 3001, Belgium 1 Sofradir, 364, route de valence, 38113

More information

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly Selection and Parameter Optimization for Reliable TMV Pop Assembly Brian Roggeman, David Vicari Universal Instruments Corp. Binghamton, NY, USA Roggeman@uic.com Martin Anselm, Ph.D. - S09_02.doc Lee Smith,

More information

Effect of Underfill Entrapment on the Reliability of Flip-Chip Solder Joint

Effect of Underfill Entrapment on the Reliability of Flip-Chip Solder Joint Y. C. Chan e-mail: eeycchan@cityu.edu.hk M. O. Alam K. C. Hung H. Lu C. Bailey EPA Centre, Department of Electronic Engineering, City University of Hong Kong, Hong Kong, China; School of Computing and

More information

EXTRA FINE PITCH FLIP CHIP ASSEMBLY PROCESS, UNDERFILL EVALUATION AND RELIABILITY

EXTRA FINE PITCH FLIP CHIP ASSEMBLY PROCESS, UNDERFILL EVALUATION AND RELIABILITY As originally published in the SMTA Proceedings EXTRA FINE PITCH FLIP CHIP ASSEMBLY PROCESS, UNDERFILL EVALUATION AND RELIABILITY Fei Xie, Ph.D. *, Daniel F. Baldwin, Ph.D. *, Han Wu *, Swapon Bhattacharya,

More information

REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE

REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE Tae-Kyu Lee, Ph.D. Portland State University Portland, OR, USA taeklee@pdx.edu

More information

Panel Discussion: Advanced Packaging

Panel Discussion: Advanced Packaging Dr. Steve Bezuk Senior Director IC Packaging Engineering Qualcomm Technologies, Inc. Panel Discussion: Advanced Packaging PAGE 1 Technical Challenges of Packaging (Mobile Focus) Materials Die materials

More information

Journal of Science and Technology The Investigation of Die Back Edge Cracking in Flip Chip Ceramic Ball Grid Array Package (FC-CBGA)

Journal of Science and Technology The Investigation of Die Back Edge Cracking in Flip Chip Ceramic Ball Grid Array Package (FC-CBGA) The Investigation of Die Back Edge Cracking in Flip Chip Ceramic Ball Grid Array Package (FC-CBGA) Zainudin Kornain a, Azman Jalar a, Rozaidi Rasid b, a Institute of Microengineering and Nanoelectronics

More information

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017 Amkor Technology, Inc. White Paper Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017 Abstract Expanding its ChipArray Ball Grid Array (CABGA) package form factor miniaturization

More information

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar,,, and SnPb Bump Structures Ahmer Syed, Karthikeyan Dhandapani, Lou Nicholls, Robert Moody, CJ Berry, and Robert Darveaux Amkor Technology

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Modelling Embedded Die Systems

Modelling Embedded Die Systems Modelling Embedded Die Systems Stoyan Stoyanov and Chris Bailey Computational Mechanics and Reliability Group (CMRG) University of Greenwich, London, UK 22 September 2016 IMAPS/NMI Conference on EDT Content

More information