If it moves, chop it in half, then simulate it

Size: px
Start display at page:

Download "If it moves, chop it in half, then simulate it"

Transcription

1 Interactions of Double Patterning Technology with wafer processing, OPC and design flows Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys Vincent Wiaux, Staf Verhaegen IMEC, Leuven, Belgium If it moves, chop it in half, then simulate it Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys Vincent Wiaux, Staf Verhaegen IMEC, Leuven, Belgium 1

2 Double Patterning additive 1 st trench imaging Hard Mask etch Resist/BARC strip Resist BARC HM LowK Etch stop. 2 nd trench imaging Hard Mask etch Resist/BARC strip transfer to dielectric 26 Synopsys, Inc. (3) See V. Wiaux , next session Outline DPT Lithography & Process Window DPT Mask Synthesis DPT Physical Design Conclusions 26 Synopsys, Inc. (4) 2

3 Lithography Need 3-35nm etched CD ~7nm min final pitch Need highly controlled 14nm pitch single exposure litho PW budget: 5% EL, 1nm DOF RET? No space for retargeting or AFs Need litho sizing + etch downsize Patterning strategy: Poly: ~45nm litho CD (~32nm gen) Cont: ~55nm litho CD (~32nm gen) M1: new resist or ~6nm litho CD All layers require 15nm+ etch shrink Standard for Poly, new for Cont & M1 26 Synopsys, Inc. (5) Min allowed space vs. # DPT conflicts nm upsize 5nm upsize 1nm upsize 15nm upsize Upsizing reduces single exposure litho space. Creates more DPT interactions & conflicts. 26 Synopsys, Inc. (6) 3

4 DPT litho/etch topography 1: n16_1_polysi_depo.tdr 2: n16_2_hm_depo.tdr 3: n16_3_arc_depo.tdr 4: n16_4_resist1.tdr : n16_6_trim1.tdr 6: n16_7_arc1.tdr 7: n16_8_hm.tdr 8: n16_9_strip.tdr : n16_1_lpcvd.tdr 1: n16_11_reflow.tdr 11: n16_12_resist2.tdr 12: n16_13_trim2.tdr Y[um m] Y[um m] Y[um m] Y[um m] : n16_14_arc2.tdr 14: n16_15_poly.tdr 15: n16_16.tdr 26 Synopsys, Inc. (7) Planar vs. Non-planar results Litho2 no Etch1 pattern CD bottom 55nm Litho2 over Etch1 pattern CD bottom 57nm+ 26 Synopsys, Inc. (8) 4

5 DPT Mask Synthesis 26 Synopsys, Inc. (9) DPT Decomposition/OPC/Verify Functions: decomposition (split & color), RET, OPC and error identification. High yield Split creates OPC/RET friendly layouts OPC creates yield friendly wafer patterns through overlay, focus, & dose variations Very high accuracy/predictive OPC models Symmetry, density uniformity Fast turnaround Limits complexity/iterations in decomposition algorithms Must successfully convert all DPT compliant designs 26 Synopsys, Inc. (1) 5

6 Logic contact split example Green lines show network of features to be decomposed Red lines show coloring violations where redesign is necessary. 1D arrays, 2by2 arrays, on grid contact are DPT friendly. 26 Synopsys, Inc. (11) Smart Coloring for Violations Line-end control critical Overlap quality Decomposition must ensure OPC can meet very tight accuracy tolerances - line-end control now critical 26 Synopsys, Inc. (12) 6

7 Yield & process window issues Pinch, sharp corner, overlay sensitive 26 Synopsys, Inc. (13) Std. round corner current density 22nm node Cu feature, max J ~ Synopsys, Inc. (14) 7

8 DPT square corner current density 22nm node Cu feature, max J ~2.3 J is ~2X higher with sharp corners. Black s electromigration Eq.: Mean time to failure (MTTF) can decrease by 3-4X! Local stress at sharp corner could further accelerate failure. 26 Synopsys, Inc. (15) Decomposition algorithm differences Basic approach More complex algorithm DRC violation Small poorly printed polygon Asymmetric with printing issues High # of cuts, risky overlaps Symmetric, fewer cuts, easier to OPC -> better yield 26 Synopsys, Inc. (16) See C. Cork , thurs. PM 8

9 Need for model based decomposition - Sometimes need model to predict and avoid errors MRC limits OPC at LE Looks fine after split but has error on wafer Split Option 1 DPT-aware OPC Looks bad after split but is good on wafer Split Option 2 26 Synopsys, Inc. (17) DPT physical design flow 26 Synopsys, Inc. (18) 9

10 Double Patterning in Design Flow Design Task Std cell creation & characterization Custom layout Place & route Tapeout signoff (DRC) Goals Minimize cell width Maximize timing/leakage g performance No design rule or DPT violations Minimize area No design rule or DPT violations Maximize routability No design rule or DPT violations No design rule or DPT violations in final design 26 Synopsys, Inc. (19) DPT standard cell generation flow GDS Layout Std cell tool GDS Import Layout Rules External analysis DPT Decomp Tool Error Reports FixRule 1 FixRule 2 FixRule N Tune Layout Std cell Setup Design Rules Corrected Layout 26 Synopsys, Inc. (2) 1

11 DPT Correction Flow Example: aoi22x1 with 2 METAL1 conflicts Source GDS GDS analyzed for conflicts 2 Conflicts on METAL1 found Pass 1 Conflicts corrected and cell optimized Corrected GDS 1 New Conflict Pass 2 Conflicts corrected and cell optimized Corrected GDS POLY & METAL1 DPT Analysis Results 26 Synopsys, Inc. (21) DPT standard cell library migration Took a traditional standard cell library and converted to a DPT clean library Made reasonable min-space assumptions for DPT 7 unique standard cells Compared cell area before and after conversion Results: Area shrunk ~1% after conversion (!?) 2 possible reasons A) DPT avoids halation rules min space OK B) Compaction engine improvements since library created Initial conclusion: Area increase is small inside Std cells 26 Synopsys, Inc. (22) 11

12 DPT hot spots in routed metals - typically metal2+ but also on metal1 Different circuit portions on Metal2 internally designed layout Simpler odd-cycle DPT hot Spots can be automatically ti detected using rules. Most can be eliminated by slightly modifying the design rules. This type of error accounts for ~7% of errors in routed metal. 26 Synopsys, Inc. (23) Complex DPT routing hot spots Those situations are too complicated for rules to detect. Must be found using DPT checks. These are passed to auto-fix routine for local jumper or ripup and reroute. These represent ~3% of errors in layouts analyzed. 26 Synopsys, Inc. (24) 12

13 Example: jumper to avoid DPT conflict Metal2 DPT conflict found & jumper section identified. Metal3 landing gpads & vias placed Part of line causing odd cycle in Metal2 was removed using modified DFM auto-fix flow. 26 Synopsys, Inc. (25) Example: jumper to avoid DPT conflict -2 New Vias and connection inserted in Metal4 Metal2 DPT conflict removed by jumper This DPT conflict disappears but others remain to be fixed. 26 Synopsys, Inc. (26) 13

14 Summary and Conclusions Litho CD control requires significant upsizing of target litho patterns Implications for resist, etch & DPT friendly layout DPT has new circuit failure modes to control E.g., corner rounding, overlap & line-end position Complex algorithms improve area, effort & yield E.g., symmetry, error reduction, model-based decomposition Need alignment of DPT methods in design & in mask synthesis to avoid DPT issues being found only at mask data verification. Demonstrated automated DPT clean layout creation 26 Synopsys, Inc. (27) Acknowledgements Ben Painter, Martin Drapeau, Brian Ward, Stephen Jang, Xiaopeng Xu: Synopsys y Eric Hendrickx: IMEC Will Conley: Freescale 26 Synopsys, Inc. (28) 14

15 Interesting backup slides if time 26 Synopsys, Inc. (29) 3 color DPT? C. Cork. PMJ Synopsys, Inc. (3) 15

16 Tone Inversion Example SRAM Positive Tone Many Coloring Violations Negative Tone No coloring violations Remapping the layout problem can solve DPT errors 26 Synopsys, Inc. (31) DPT friendly Std cell boundaries Placed Std cells are DPT friendly if: - layers are gridded and single CD at boundary, OR - single color is < ½ min single expose space to boundary, OR - only left or right has multiple colors near boundary 26 Synopsys, Inc. (32) 16

17 DPT friendly Place & Route flow Std P&R layout DPT Prevention Rules ICC Detail route Metal fill For each ICC Switch Box Local DPTdecomposition (skip if 1 st time) For each DPT Hot Spot Break Odd cycle by removing part of line DPT Error Rules Yes Try to find a Jumper No ICC Convergence Report Full chip DPTdecomposition ICC Switch Box Mark for Reroute 26 Synopsys, Inc. (33) 17

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Impact of Litho on Design

Impact of Litho on Design Impact of Litho on Design Srini Raghvendra Senior Director DFM Solutions Synopsys Inc. Acknowledgements Dan Page Mike Rieger Paul vanadrichem Jeff Mayhew 2006 Synopsys, Inc. (2) Subwavelength Litho Requires

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys. DATASHEET IC Validator High-performance DRC/LVS physical verification substantially reduces time-to-results Overview Synopsys IC Validator is a comprehensive physical verification signoff solution that

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information

StarRC Custom Parasitic extraction for next-generation custom IC design

StarRC Custom Parasitic extraction for next-generation custom IC design Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

IC Compiler Comprehensive Place and Route System

IC Compiler Comprehensive Place and Route System Datasheet IC Compiler Comprehensive Place and Route System Overview IC Compiler is the leading place and route system. A single, convergent, chiplevel physical implementation tool, it includes flat and

More information

Towards cost-effective and low defectivity DSA flows for line/space patterning

Towards cost-effective and low defectivity DSA flows for line/space patterning Towards cost-effective and low defectivity DSA flows for line/space patterning Hari Pathangi, Arindam Malik, B.T. Chan, Varun Vaid, Nadia Vandenbroeck, Roel Gronheid Jin Li, Baskaran Durairaj, JiHoon Kim,

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

13. Back-End Design Flow for HardCopy Series Devices

13. Back-End Design Flow for HardCopy Series Devices 13. Back-End esign Flow for HardCopy Series evices H51019-1.4 Introduction This chapter discusses the back-end design flow executed by the HardCopy esign Center when developing your HardCopy series device.

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

Frontend flow. Backend flow

Frontend flow. Backend flow This section intends to document the steps taken in the first stage of this dissertation. In order to understand the design flow used by Synopsys HARDIP team, a PLL design was made, covering all the stages

More information

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection CTO, Maydan Technology Center Applied Materials, Inc. Mike_Smayling@amat.com Topics Introduction to Test Chips Test Structures Basic

More information

Physical Level Design using Synopsys

Physical Level Design using Synopsys 1 Physical Level Design using Synopsys Jamie Bernard, Student MS CpE George Mason University Abstract Very-Large-Scale-Integration (VLSI) of digital systems is the foundation of electronic applications

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

Inspection Facilities and Specifications for Automatic Optical Inspection Machines from DCB Automation

Inspection Facilities and Specifications for Automatic Optical Inspection Machines from DCB Automation Inspection Facilities and Specifications for Automatic Optical Inspection Machines from DCB Automation The software has been designed and developed by DCB Automation over many years and the features are

More information

W Metallization in a 3-D Memory

W Metallization in a 3-D Memory W Metallization in a 3-D Memory December 8, 2005 Michael Konevecki, Usha Raghuram, Victoria Eckert, Vance Dunton, Brad Herner & Steve Radigan 3-D Memory Cells Matrix memory cells consist of a memory element

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

PADS Layout. start smarter. For PADS Standard and PADS Standard Plus OVERVIEW MAJOR BENEFITS:

PADS Layout. start smarter. For PADS Standard and PADS Standard Plus OVERVIEW MAJOR BENEFITS: start smarter D A T A S H E E T PADS Layout For PADS Standard and PADS Standard Plus MAJOR BENEFITS: Easy to learn and use Powerful PCB design technology Proven capabilities Tackles complex design problems

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

COVENTOR PREDICTING ACTUAL FROM VIRTUAL COVENTOR PREDICTING ACTUAL FROM VIRTUAL Virtual Fabrication Changing the Trajectory of Chip Manufacturing Sandy Wen Semiconductor Process & Integration July 12, 2017 AT A GLANCE MARKET LEADER in 3D modeling

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Design Methodology for IC Manufacturability Based on Regular Logic-Bricks

Design Methodology for IC Manufacturability Based on Regular Logic-Bricks . Design Methodology for IC Manufacturability Based on Regular Logic-Bricks V. Kheterpal, V. Rovner, T.G. Hersan, D. Motiani, Y. Takegawa, A.J. Strojwas, L. Pileggi {vkheterp, vrovner, tgh, dmotiani, yoichi,

More information

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS P A D S W H I T E P A P E R w w w. m e n t o r. c o m / p a d s INTRODUCTION Printed Circuit Board design is a

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Lecture 2. Fabrication and Layout

Lecture 2. Fabrication and Layout Lecture 2 Fabrication and Layout Mark Horowitz Modified by Azita Emami Computer Systems Laboratory Stanford University azita@stanford.edu 1 Overview Reading W&E 3.1(scan), 3.2.1, 3.3.1 - Fabrication W&E

More information

PrimeTime Mode Merging

PrimeTime Mode Merging WHITE PAPER PrimeTime Mode Merging Reducing Analysis Cost for Multimode Designs Author Ron Craig Technical Marketing Manager, Synopsys Introduction As process technologies shrink, design teams can fit

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

ASML - A strong company on a growth trajectory

ASML - A strong company on a growth trajectory ASML - A strong company on a growth trajectory Franki D Hoore Director European Investor Relations Cheuvreux European IT and Technology Conference Paris, 8 March, 2007 Safe Harbor Safe Harbor Statement

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

Chapter 14. Designing with FineLine BGA Packages

Chapter 14. Designing with FineLine BGA Packages Chapter 14. Designing with FineLine BGA Packages S53009-1.3 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

IC Compiler II. Industry Leading Place and Route System. Overview. Accelerating Time to Results on advanced Designs. synopsys.com.

IC Compiler II. Industry Leading Place and Route System. Overview. Accelerating Time to Results on advanced Designs. synopsys.com. DATASHEET IC Compiler II Industry Leading Place and Route System Accelerating Time to Results on advanced Designs Overview IC Compiler II is the leading place and route system delivering industry-best

More information

Customer Support: Leveraging Value of Ownership

Customer Support: Leveraging Value of Ownership Customer Support: Leveraging Value of Ownership Bernard Carayon SVP Customer Support WW Analyst Day, 30 September 2004 / Slide 1 Agenda! Customer Support main activities! Worldwide Organization and installed

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

AN 453: HardCopy II ASIC Fitting Techniques

AN 453: HardCopy II ASIC Fitting Techniques AN 453: HardCopy II ASIC Fitting Techniques November 2008 AN-453-2.0 Introduction Engineers often use a flexible, reprogrammable Stratix II FPGA for prototyping a project, and then transfer the design

More information

Motorola MPA1016FN FPGA

Motorola MPA1016FN FPGA Construction Analysis Motorola MPA1016FN FPGA Report Number: SCA 9711-561 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

System cost and efficiency optimization by heat exchanger performance simulations

System cost and efficiency optimization by heat exchanger performance simulations Available online at www.sciencedirect.com ScienceDirect Energy Procedia 00 (2017) 000 000 www.elsevier.com/locate/procedia IV International Seminar on ORC Power Systems, ORC2017 13-15 September 2017, Milano,

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Nine Dot Connects. DFM Stackup Considerations Part 4 Webinar August The following questions were asked during the webinar.

Nine Dot Connects. DFM Stackup Considerations Part 4 Webinar August The following questions were asked during the webinar. DFM Stackup Considerations Part 4 Webinar August 2015 The following questions were asked during the webinar. Question / Comment Aren't the inner signal layer traces classified as embedded micro-strips

More information

Fill for Shallow Trench Isolation CMP

Fill for Shallow Trench Isolation CMP Fill for Shallow Trench Isolation CMP Andrew B. Kahng +, Puneet Sharma, Alexander Zelikovsky Λ + Blaze DFM Inc., Sunnyvale, CA CSE and ECE Departments, University of California at San Diego Λ CS Department,

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Modeling for DFM / DFY

Modeling for DFM / DFY Modeling for DFM / DFY A Foundry Perspective Walter Ng Senior Director, Platform Alliances 5/24/07 2006 Chartered Semiconductor Manufacturing Ltd. All rights reserved. No part or parts hereof may be reproduced,

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY Q. T. LE*, E. KESTERS*, Y. AKANISHI**, A. IWASAKI**, AND F. HOLSTEYNS* * IMEC, LEUVEN, BELGIUM ** SCREEN SEMICONDUCTOR

More information

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Systems & Technology Group Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Ben Mashak, Howard Chen and Bill Hovis {mashak, haowei, hovis}@us.ibm.com Outline

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

An Effective Legalization Algorithm for Mixed-Cell-Height Standard Cells

An Effective Legalization Algorithm for Mixed-Cell-Height Standard Cells An Effective Legalization Algorithm for Mixed-Cell-Height Standard Cells C.-H. Wang, Y.-Y. Wu, J.-L. Chen, Y.-W. Chang S.-Y. Kuo, W.-X. Zhu, G.-H. Fan Jan. 8, 07 Dependable Distributed Systems and Networks

More information

14. Designing with FineLine BGA Packages

14. Designing with FineLine BGA Packages 14. Designing with FineLine BGA Packages S51014-1.0 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices (PLDs)

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Advances in Process Overlay - ATHENA Alignment System Performance on Critical Process Layers

Advances in Process Overlay - ATHENA Alignment System Performance on Critical Process Layers Advances in Process Overlay - ATHENA Alignment System Performance on Critical Process Layers David Laidler 1, Henry Megens 2, Sanjay Lalbahadoersing 2, Richard van Haren 2, Frank Bornebroek 2 1 IMEC, Kapeldreef

More information

Bayesian interval dose-finding designs: Methodology and Application

Bayesian interval dose-finding designs: Methodology and Application Bayesian interval dose-finding designs: Methodology and Application May 29, 2018 Conflict of Interests The U-Design webtool is developed and hosted by Laiya Consulting, Inc., a statistical consulting firm

More information

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager Lithography S P E C I A L Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager by Stephen J. DeMoora, Stephanie Hilbun, George P. Beck III, Kristi L. Bushmana,

More information

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ROEL GRONHEID, IVAN POLLENTIER (IMEC) TODD YOUNKIN (INTEL) MARK SOMERVELL, KATHLEEN NAFUS, JOSH HOOGE, BEN RATHSACK, STEVEN SCHEER (TOKYO

More information

Advisor5Good Reasons to Move Forward

Advisor5Good Reasons to Move Forward Advisor5Good Reasons to Move Forward Value Asymmetric Planning Maximize your yield, increase your confidence in the end result and narrow the gaps between planning and manufacturing. With Advisor 5.0 s

More information

Industry Roadmap and Technology Strategy

Industry Roadmap and Technology Strategy Industry Roadmap and Technology Strategy Martin van den Brink President and Chief Technology Officer Overview Slide 2 Industry Innovation Moore s law innovation continues, driven by multiple engines of

More information

Section II. HardCopy Design Center Migration Process

Section II. HardCopy Design Center Migration Process Section II. HardCopy Design Center Migration Process This section provides information about software support for HardCopy Stratix devices. This section contains the following: Chapter 3, Back-End Design

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

49. MASS BALANCING AND DATA RECONCILIATION EXAMPLES

49. MASS BALANCING AND DATA RECONCILIATION EXAMPLES HSC Chemistry 7.0 49-1 49. MASS BALANCING AND DATA RECONCILIATION EXAMPLES This manual gives examples how to solve different kind of mass balancing problems. Please have a look of the manual 48. Sim Mass

More information