Imprint lithography for curved cross-sectional structure using replicated Ni mold

Size: px
Start display at page:

Download "Imprint lithography for curved cross-sectional structure using replicated Ni mold"

Transcription

1 Imprint lithography for curved cross-sectional structure using replicated Ni mold Yoshihiko Hirai, a) Satoshi Harada, Hisao Kikuta, and Yoshio Tanaka Mechanical System Engineering, Graduate School of Engineering, Osaka Prefecture University, 1-1 Gakuen-cho, Sakai, Osaka , Japan Masato Okano Factory for Advanced Optical Technology, Osaka Science and Technology Center, Ayumino, Izumi, Osaka , Japan Satoshi Isaka and Michio Kobayasi Hikifune Co. Ltd., Higashiyotsugi, Katsushika-ku, Tokyo , Japan Received 28 May 2002; accepted 26 August 2002 Fabrication of patterns with curved cross-sectional profiles for diffractive optical elements are demonstrated by imprint lithography using replicated Ni mold. The master mold pattern is fabricated by an electron beam lithography, where dosage distribution is automatically optimized by a computer aided design CAD system taking the proximity effects and resist development process into account. Utilizing the CAD system, a modulated pitched resist pattern with polynomial shaped cross-sectional profiles are successfully obtained by poly methylmethacrylate PMMA on Si substrate. Using the PMMA resist as a master pattern, replicated mold is fabricated by Ni electroforming followed by Ni electroless plating. Fine and curved cross-sectional patterns are successfully transferred to a resist on Si substrate by imprint lithography using the Ni replicated mold. In the same way, fabrication of sine waved and circular curved structures are demonstrated. This method is exceedingly useful for fabrication of integrated diffractive optical elements with a cross-sectional profile American Vacuum Society. DOI: / I. INTRODUCTION Imprint lithography is one of the promising technologies for fabricating fine and integrated pattern by low production cost. 1 On the other hand, one of the difficulties is that the resolution is restricted by the mold pattern. However, it is possible to transfer cross-sectional profiles to a polymer, once a mold is fabricated having objective cross-sectional structures such as curved cross-sectional profiles for optical elements. 2 Several methods have been approached using semiconductor lithography technique such as gray level mask 3 in photolithography or dose modulated electron beam lithography 4 6 to fabricate curved cross-sectional patterns or saw-teeth like patterns for diffractive optical elements. In those works, metal substrates or quarts substrate are mostly dry etched after these lithography processes to transfer the resist patterns to the substrate materials, which causes topological errors in the dry etching process. In addition, it is very difficult to design an exposure dose profile to obtain arbitrary cross-sectional profiles in electron beam lithography for a fine pattern. In this article, curved cross-sectional structures, whose master pattern is formed by optimized dose electron beam lithography, are demonstrated using imprint lithography. The schematic diagram of the proposed method is shown in Fig. 1. First, a curved cross-sectional master resist pattern is fabricated by automatically dose optimized electron beam exposure. Next, the master resist pattern is replicated by Ni electroforming to fabricate replicated mold for imprint a Electronic mail: hirai@mecha.osakafu-u.ac.jp lithography. Using the replicated mold, the master pattern is transferred by imprint lithography. Based on this method, fabrication of chirped diffraction grating, which has parabolic curved cross sectional profile with 2.0 m pitched pattern, is demonstrated by imprint lithography using replicated Ni mold. II. MASTER PATTERN FABRICATION BY ELECTRON BEAM LITHOGRAPHY Electron beam lithography is one of the most practical methods to fabricate various cross-sectional resist profiles because the exposure dose distribution is easily modulated by conventional electron beam exposure system. However, designing the dose distribution to obtain arbitrary crosssectional profile is very difficult due to the proximity effect. 7 Daschner et al. proposed novel proximity effect correction method to obtain arbitrary cross-sectional profiles for optical elements. 8 They utilize the double-gaussian approximation for energy intensity distribution 9 to calculate absorbed energy in the resist and the resist contrast characteristics to estimate residual resist thickness after developments. To obtain an arbitrary profile, exposure dose distribution is modulated by iteration process but the procedure is not presented in detail. This correction method is useful to fabricate the blazed grating with a period much longer than the wavelength of light. However, it is no longer valid for shortperiod patterns because the electron scattering and the time evolution of the resist development process are not precisely considered J. Vac. Sci. Technol. B 20 6, NovÕDec Õ2002Õ20 6 Õ2867Õ5Õ$ American Vacuum Society 2867

2 2868 Hirai et al.: Imprint lithography 2868 FIG. 1. Schematic diagram of the proposed method to obtain curved crosssectional structure by imprint lithography: a electron beam exposure by modulated dose distribution; b resist development to obtain master pattern by PMMA; c replicated mold fabrication of the master pattern by the nanoforming process; and d imprint lithography by the replicated mold. To solve the problem, we have developed advanced proximity effect correction system based on precious evaluation of the energy absorption and development process as shown in Fig A Monte Carlo method 11 is applied to estimate the space distribution of the absorbed energy in the resist. Then, the resist development simulation 12 is performed to obtain time evolutions of the cross-sectional profile of the resist by development process. Based on the simulations, the exposure dose at the ith point i,n 1 is optimized to i,n by the following equation in the nth iteration process: Ē m t i,n i,n 1, 1 Ē where Ē t is the mean energy density from the initial resist surface to the target position in the resist and Ē is to the predicted resist position by the simulation, respectively. The order m is usually Figure 3 shows the optimized results for a chirped diffraction grating. The target profile is chirped grating with 2.0 m in minimum period, 1.7 m in height and 100 m in width. The electron beam energy is 50 KeV and the resist is 2.0 m poly methyl methacrylate PMMA OEBR-1000 on Si. FIG. 2. Schematic diagram of the dose optimization process in electron beam lithography: a black diagram of the optimization process and b dose collection for target cross-sectional profile. The optimized dose distribution and the predicted resist cross sectional profile after development are shown in Figs. 3 a and 3 b. The error between the target profile and the predicted profile is shown in Fig. 3 c. The error becomes large at the steep edges. The mean error is around 90 nm. Figure 4 shows simulation and experimental result of the resist cross sectional profiles by the optimized dose exposure. Figure 4 a shows the time evolution of the resist crosssectional profiles during development process. The development is proportionally proceeds to the development time. As the development process is fairly stable, the cross-sectional profile could be controlled by the development time. Figure 4 b show the experimental result of the resist cross-sectional profile after 120 s development. Curved cross-sectional structure is successfully obtained by the optimized dose exposure and development time. J. Vac. Sci. Technol. B, Vol. 20, No. 6, NovÕDec 2002

3 2869 Hirai et al.: Imprint lithography 2869 FIG. 3. Dose optimization results for a chirped pattern: a optimized dose profile; b predicted resist profile after development by the optimized exposure; and c simulated errors of the resist profile compared to the target profile. It is confirmed that the dose optimization system is fairly effective for fine cross-sectional patterns. FIG. 4. PMMA master pattern fabrication by dose optimized electron beam exposure 50 KeV, 2.0 m PMMA on Si : a simulation result for time evolution of the resist development process and b cross-sectional SEM photograph of the resist tilt angle 70 ). FIG. 5. Schematic diagram of the replication process by combination of Ni electroless plating and Ni electroforming: a Ni electroless plating for the PMMA master pattern by Ni/B solution; b Ni electroforming by Ni sulfamate electrolyte using plus power supply; and c releasing from the master substrate by organic solvent. III. REPLICATED MOLD FABRICATION BY NANO FORMING Using the dose optimization system, curved-cross sectional structure is successfully obtained. The PMMA is effective material for an optical element but it is costly and time consuming for industrial fabrication using the electron beam exposure. To reduce the cost, we demonstrated fabrication of a Si mold using PMMA as an etching mask for Si substrate. 13 However, it is very hard to transfer the PMMA pattern to the substrate by the dry etching process because lateral etching for the PMMA causes fatal error in the pattern transfer process. One possible way for precious transformation of the master PMMA pattern is replication by the nanoforming process, which is a combination of electroless plating for insulation material and electric forming. Figure 5 shows a schematic diagram of the nanoforming process. After a surface cleaning process of the PMMA master pattern, electroless plating is performed by a commercially available Ni/B solution at 80 C for several minutes to grow the Ni thin conductive layer around 200 nm as shown in Fig. 5 a. Next, electroforming is carried out using a solution of nickel sulfamate electrolyte at 50 C as shown in Fig. 5 b. The current density is initially 0.5 and up to 5.0 A/dm 2 to reduce residual stress. The Ni replica plate is grown to a thickness of around 4.0 mm, which takes several days. At present, the residual stress has not been evaluated quantitatively. But the surface flatness is about less than 30 nm in a 0.43 mm square area by the optical interferometer Zygo observation. It seems to be flat enough for this application. After Ni electroforming, the master PMMA is removed by an organic solvent as shown in Fig. 5 c. Using this process, the PMMA master pattern is preciously replicated without fatal dimension errors. Figure 6 a shows a photograph of the replicated Ni mold by scanning electron microscopy SEM. Figure 6 b shows the scanning ion microscopy SIM of the cross section of the replicated Ni mold after focused ion beam FIB sputter- JVST B-Microelectronics and Nanometer Structures

4 2870 Hirai et al.: Imprint lithography 2870 FIG. 7. Cross-sectional profiles of the replicated Ni mold after FIB sputtering tilt angle 45 ): a sine waved curve and b circular-like curve. FIG. 6. Cross-sectional profiles of the Ni replicated mold and imprint result for the chirped pattern: a SEM image of the replicated Ni mold tilt angle 70 ); b SIM image of the cross-sectional profile after FIB sputtering of the replicated Ni mold tilt angle 45 ); and c SEM image of the imprinted pattern to PMMA film using replicated Ni mold tilt angle 70 ). The minimum period of the chirped pattern is 2.0 m. ing. The master pattern is successfully replicated by the Ni electroless plating and electroforming. Finally, a single molecular fluoropolymer layer is coated on the Ni surface using perfluoropolyether with methoxy hydrolysable silane-coupling agents to prevent adhesion problems in imprint lithography. 14 Using methoxy hydrolysable agents, the Ni surface is successfully covered with fluoropolymer without any erosion. molds. The imprint pressures are 90 MPa at 170 C. Curved fine patterns are successfully transferred to the PMMA films. As demonstrated above, curved structures are successfully obtained without any additional process such as multistep development or dry etching, once the mold is fabricated. This method is exceedingly useful for mass production of unusually shaped patterns. V. CONCLUSIONS To obtain a curved cross-sectional structure by imprint lithography, an automatic dose optimization system is utilized to fabricate the master resist pattern using electron beam lithography. Using the system, a PMMA master pattern IV. IMPRINT EXPERIMENTS Using the Ni replicated mold with curved cross-sectional structure, imprint lithography is carried out to a PMMA thin film on Si substrate. A 2.0 m thick PMMA is spun coated on a Si substrate. The molds are pressed into the PMMA film at 170 C at 20 MPa for 5 min and released at 60 C. Figure 6 c shows the SEM photograph of the imprint result. The pattern size is 2.0 m in a minimum period, 100 m in width, and 2.5 mm in length. A fine pattern with a curved structure is successfully transferred to the PMMA surface using the replicated Ni mold. In the same way, imprint lithography for a sine waved curve and a circular-like curve is demonstrated using a dose optimization system and replicated Ni molds. Figure 7 shows the cross-sectional profiles of the replicated Ni molds. The period of the patterns are 4.0 m. Fine and smooth crosssectional profiles are successfully obtained for curved structures. Figure 8 shows cross-sectional profiles of the imprinted results to 2.0 m PMMA on Si using the replicated FIG. 8. Cross-sectional profiles of the imprinted pattern using replicated Ni molds tile angle 70, 2.0 m PMMA on Si, 90 MPa, 170 C): a sine waved curve and b circular-like curve. J. Vac. Sci. Technol. B, Vol. 20, No. 6, NovÕDec 2002

5 2871 Hirai et al.: Imprint lithography 2871 with curved cross-sectional pattern is successfully obtained on the Si substrate. The master pattern is replicated by the combination of Ni electroless plating and Ni electroforming. Using the replaced Ni mold, imprint lithography for a chirped optical grating pattern with 2.0 m in the minimum period, 1.5 m in height, and sine waved and circular-like patterns are successfully demonstrated. This method is a promising lithographic method to obtain cross-sectional profiles for optical devices or microfluid structures for biochemical devices. ACKNOWLEDGMENTS The authors thank Dr. K. Murata at Osaka Prefecture University and Nalux Corporation for their helpful discussions and supports. 1 S. Y. Chou, P. R. Krauss, and P. J. Renstrom, Appl. Phys. Lett. 67, H. Herzing, Micro-optics Taylor and Francis, London, W. Daschner, P. Long, M. Larsson, and S. Lee, J. Vac. Sci. Technol. B 13, E. Kley, Microelectron. Eng. 34, M. Ekberg, F. Nikolajeff, M. Larsson, and S. Hard, Appl. Opt. 33, M. Okano, T. Yotsuya, Y. Hirai, H. Kikuta, and K. Yamamoto, Proc. SPIE 4440, D. Kyser and N. Viswanathan, J. Vac. Sci. Technol. 12, W. Daschner, M. Larsson, and S. Lee, Appl. Opt. 34, T. Chang, J. Vac. Sci. Technol. 12, Y. Hirai, H. Kikuta, M. Okano, T. Yotsuya, and K. Yamamoto, Jpn. J. Appl. Phys., Part 1 39, D. Kyser and K. Murata, IBM J. Res. Dev. 18, F. Dill, A. R. Neureuther, J. A. Tuttle, and E. J. Walker, IEEE Trans. Electron Devices ED-22, Y. Hirai, M. Okano, H. Okuno, H. Toyota, T. Yotsuya, H. Kikuta, and Y. Tanaka, Proc. SPIE 4440, Y. Hirai, S. Yoshida, A. Okamoto, Y. Tanaka, M. Endo, S. Irie, H. Nakagawa, and M. Sasago, J. Photopolym. Sci. Technol. 14, JVST B-Microelectronics and Nanometer Structures

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 539-544 C 2017SPST Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Ichiro

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Superionic Solid State Stamping (S4)

Superionic Solid State Stamping (S4) Superionic Solid State Stamping (S4) Lead Faculty Researcher: Placid Ferreira Department: Materials Science & Engineering Hsu et al, Nano Letters, 2007 1. Description: This dry, single step, electrochemical

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects UWE SCHEITHAUER SIEMENS AG, CT MM 7, Otto-Hahn-Ring 6, 81739 München, Germany Phone: + 49 89 636 44143 E-mail: uwe.scheithauer@siemens.com

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Step and Flash Imprint Lithography for sub-100nm Patterning

Step and Flash Imprint Lithography for sub-100nm Patterning Step and Flash Imprint Lithography for sub-100nm Patterning Matthew Colburn, Annette Grot, Marie Amistoso, Byung Jin Choi, Todd Bailey, John Ekerdt, S.V. Sreenivasan, James Hollenhorst, C. Grant Willson

More information

THIN FILM THICKNESS MEASUREMENT FOR EVALUATION OF RESIDUAL LAYER OF NANO-IMPRINT LITHOGRAPHY USING NEAR-FIELD OPTICS

THIN FILM THICKNESS MEASUREMENT FOR EVALUATION OF RESIDUAL LAYER OF NANO-IMPRINT LITHOGRAPHY USING NEAR-FIELD OPTICS 9 th INTERNATIONAL SYMPOSIUM ON MEASUREMENT AND QUALITY CONTROL (9 th ISMQC) November 21 24, 27, IIT Madras THIN FILM THICKNESS MEASUREMENT FOR EVALUATION OF RESIDUAL LAYER OF NANO-IMPRINT LITHOGRAPHY

More information

Ion channeling effects on the focused ion beam milling of Cu

Ion channeling effects on the focused ion beam milling of Cu Ion channeling effects on the focused ion beam milling of Cu B. W. Kempshall a) and S. M. Schwarz Department of Mechanical, Materials, and Aerospace Engineering, University of Central Florida, P.O. Box

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Novel electron beam lithography technique for submicron T-gate fabrication

Novel electron beam lithography technique for submicron T-gate fabrication Novel electron beam lithography technique for submicron T-gate fabrication M. M. Ahmed a) and H. Ahmed Microelectronics Research Centre, Cavendish Laboratory, University of Cambridge, Cambridge CB3 OHE,

More information

Micro Injection Molding of Micro Fluidic Platform

Micro Injection Molding of Micro Fluidic Platform Micro Injection Molding of Micro Fluidic Platform S. C. Chen, J. A. Chang, Y. J. Chang and S. W. Chau Department of Mechanical Engineering, Chung Yuan University, Taiwan, ROC Abstract In this study, micro

More information

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY 16 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY Tsung-Han Yu, Shenq-Yih Luo,

More information

Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography

Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography Falco C. M. J. M. van Delft a) and Jos P. Weterings Philips Research Laboratories, Prof. Holstlaan

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Victor Usov, Graham Cross, Neal O Hara, Declan Scanlan, Sander Paulen, Chris de Ruijter, Daniel Vlasveld,

More information

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES Fei Peng 1, Ernest Long 1, Jim Watkowski 1, Kesheng Feng 1, Naomi Ando 2, Kazuhiro Inazu 2 1 MacDermid, 227 Freight St,

More information

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT),

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT), Sub-µ structured Lotus Surfaces Manufacturing M. Worgull 1, M. Heckele 1, T. Mappes 2, B. Matthis 1, G. Tosello 3, T. Metz 4, J. Gavillet 5, P. Koltay 4, H. N. Hansen 3 1 Forschungszentrum Karlsruhe (FZK),

More information

Crystallographic Orientation Relationship between Discontinuous Precipitates and Matrix in Commercial AZ91 Mg Alloy

Crystallographic Orientation Relationship between Discontinuous Precipitates and Matrix in Commercial AZ91 Mg Alloy Materials Transactions, Vol. 52, No. 3 (2011) pp. 340 to 344 Special Issue on New Trends for Micro- and Nano Analyses by Transmission Electron Microscopy #2011 The Japan Institute of Metals Crystallographic

More information

Electronically switchable diffractive optical elements

Electronically switchable diffractive optical elements Electronically switchable diffractive optical elements GARRY LESTER, ADRIAN STRUDWICK AND * STEPHEN COULSTON L-lectronics Ltd, 48 Wilton Way, Exeter, EX 3UR * QuantX (Oxford), North Leigh Business Park,

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms.

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. Journal: 2011 MRS Fall Meeting Manuscript ID: Draft Manuscript

More information

Mold Fabrication for 3D Dual Damascene Imprinting

Mold Fabrication for 3D Dual Damascene Imprinting Nanoscale Res Lett (2010) 5:545 549 DOI 10.1007/s11671-010-9540-2 SPECIAL ISSUE ARTICLE Mold Fabrication for 3D Dual Damascene Imprinting S. M. Saydur Rahman Bo Cui Received: 11 September 2009 / Accepted:

More information

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2 Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 6 Through-wafer Interconnect EEL6935 Advanced MEMS 2005 H. Xie 1/21/2005 1 Motivations: Wafer-level packaging CMOS 3D Integration

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Sealing Mechanism of Anodic Porous Oxide Films Formed on Aluminum in Lithium Hydroxide Solution

Sealing Mechanism of Anodic Porous Oxide Films Formed on Aluminum in Lithium Hydroxide Solution Proceedings of the 12th International Conference on Aluminium Alloys, September 5-9, 2010, Yokohama, Japan 2010 The Japan Institute of Light Metals pp. 1463-1468 1463 Sealing Mechanism of Anodic Porous

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Optimization of SR Exposure and Etching Techniques for Microneedle Structure with Deep-hole

Optimization of SR Exposure and Etching Techniques for Microneedle Structure with Deep-hole Optimization of SR Exposure and Etching Techniques for Microneedle Structure with Deep-hole S. Khumpuang, K. Fujioka, S. Yamaguchi and S. Sugiyama Abstract In this paper, the method for fabrication of

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

High-throughput three-dimensional (3D) lithographic microfabrication in biomedical applications

High-throughput three-dimensional (3D) lithographic microfabrication in biomedical applications High-throughput three-dimensional (3D) lithographic microfabrication in biomedical applications The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story

More information

Precision Electroforming in High-Strength NiColoy

Precision Electroforming in High-Strength NiColoy Taking the Stress out of Electroforming www.nicoform.com Precision Electroforming in High-Strength NiColoy Copyright 2007 NiCoForm, Inc. (Rochester, NY) Electroforming What is it? What is it good for?

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. ARTICLE NUMBER: 16178 DOI: 10.1038/NENERGY.2016.178 Enhanced Stability and Efficiency in Hole-Transport Layer Free CsSnI3 Perovskite Photovoltaics Supplementary

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Transmission Kikuchi Diffraction in the Scanning Electron Microscope

Transmission Kikuchi Diffraction in the Scanning Electron Microscope Transmission Kikuchi Diffraction in the Scanning Electron Microscope Robert Keller, Roy Geiss, Katherine Rice National Institute of Standards and Technology Nanoscale Reliability Group Boulder, Colorado

More information

Tatsuro Endo, * Kenzo Yamamoto, Kenji Sueyoshi, and Hideaki Hisamoto. 1. Introduction

Tatsuro Endo, * Kenzo Yamamoto, Kenji Sueyoshi, and Hideaki Hisamoto. 1. Introduction Sensors and Materials, Vol. 29, No. 9 (2017) 1247 1252 MYU Tokyo 1247 S & M 1417 Development of Cartridge-Based Wash-Free Single-Step Plasmonic Enzyme-Linked Immunosorbent Assay Using Poly(vinylpyrrolidinone)-Coated

More information

Corrosion Protect DLC Coating on Steel and Hastelloy

Corrosion Protect DLC Coating on Steel and Hastelloy Materials Transactions, Vol. 49, No. 6 (2008) pp. 1333 to 1337 #2008 The Japan Institute of Metals Corrosion Protect DLC Coating on Steel and Hastelloy Hironobu Miya and Jie Wang Semiconductor Equipment

More information

Monte Carlo approach to island formation during thermal treatment of thin films*

Monte Carlo approach to island formation during thermal treatment of thin films* Monte Carlo approach to island formation during thermal treatment of thin films* Ann Marie Hardin December 6, 2006 *F. Lallet, R. Bachelet, A. Dauger, and N. Olivi-Tran, (2006) Physical Review B, 74 Outline

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

Growth of SiC thin films on graphite for oxidation-protective coating

Growth of SiC thin films on graphite for oxidation-protective coating Growth of SiC thin films on graphite for oxidation-protective coating J.-H. Boo, a) M. C. Kim, and S.-B. Lee Department of Chemistry, Sungkyunkwan University, Suwon 440-746, Korea S.-J. Park and J.-G.

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography

Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography Alex K. Raub, a) A. Frauenglass, and S. R. J. Brueck Center for High Technology Materials, University of

More information

Large-area patterning by roller-based nanoimprint lithography

Large-area patterning by roller-based nanoimprint lithography JOANNEUM RESEARCH Forschungsgesellschaft Institute MATERIALS, Weiz, Austria Large-area patterning by roller-based nanoimprint lithography Ursula Palfinger, Dieter Nees, Stephan Ruttloff, Markus Leitgeb,

More information

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study JOURNAL OF APPLIED PHYSICS 97, 073519 2005 Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study SungKwan Kim, a Yangsoo

More information

80 Development of REBa2Cu3Ox Coated Conductor on Textured Metal Substrate

80 Development of REBa2Cu3Ox Coated Conductor on Textured Metal Substrate ELECTRIC WIRE & CABLE, ENERGY Development of REBa2Cu3Ox Coated Conductor on Textured Metal Substrate Yuki SHINGAI*, Tatsuoki NAGAISHI, Masaya KONISHI, Hajime OTA, Takahiro TANEDA and Kazuya OHMATSU Sumitomo

More information

High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates**

High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates** COMMUNICATION DOI: 10.1002/adma.200702650 High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates** By Se Hyun Ahn and L. Jay Guo* The ability of micro- to nanometer-scale patterning

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications

Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications Shida Tan, a Richard Livengood, and Darryl Shima Intel Corporation,

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

ZnO-based Transparent Conductive Oxide Thin Films

ZnO-based Transparent Conductive Oxide Thin Films IEEE EDS Mini-colloquium WIMNACT 32 ZnO-based Transparent Conductive Oxide Thin Films Weijie SONG Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, P. R. China

More information

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test Materials Transactions, Vol. 52, No. 3 (2011) pp. 464 to 468 #2011 The Japan Institute of Metals Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory Nanofabrication Prof. Stephen Y. Chou Department of Electrical Engineering Princeton University 1 Acknowledgment Dr. Paul Fischer Dr. Yun Wang Dr. Jay Guo Dr. Peter Klauss Dr. Jim Wang Dr. Longtin He Dr.

More information

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

Available online at  ScienceDirect. Materials Today: Proceedings 2 (2015 ) Available online at www.sciencedirect.com ScienceDirect Materials Today: Proceedings 2 (2015 ) 5582 5586 International Conference on Solid State Physics 2013 (ICSSP 13) Thickness dependent optimization

More information

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth Journal of ELECTRONIC MATERIALS, Vol. 39, No. 1, 2010 DOI: 10.1007/s11664-009-0953-6 Ó 2009 TMS Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature

More information

Observations of Intermetallic Compound Formation of Hot Dip Aluminized Steel

Observations of Intermetallic Compound Formation of Hot Dip Aluminized Steel Materials Science Forum Vols. 519-521 (2006) pp. 1871-1875 online at http://www.scientific.net (2006) Trans Tech Publications, Switzerland Observations of Intermetallic Compound Formation of Hot Dip Aluminized

More information

Investigation of the properties of different surfaces used in evaporation systems

Investigation of the properties of different surfaces used in evaporation systems Journal of Physics: Conference Series PAPER OPEN ACCESS Investigation of the properties of different surfaces used in evaporation systems To cite this article: E O Kirichenko and E Ya Gatapova 2016 J.

More information

Sub-micron grating fabrication on hafnium oxide thin-film waveguides with focused ionbeam

Sub-micron grating fabrication on hafnium oxide thin-film waveguides with focused ionbeam Sub-micron grating fabrication on hafnium oxide thin-film waveguides with focused ionbeam milling Kalyani Chaganti, Ildar Salakhutdinov, Ivan Avrutsky, and Gregory W. Auner Department of Electrical and

More information

A new Glass GEM with a single sided guard-ring structure

A new Glass GEM with a single sided guard-ring structure A new Glass GEM with a single sided guard-ring structure RD-51 session! 5 July, 2013!! Yuki MITSUYA a, Takeshi FUJIWARA b, Hiroyuki TAKAHASHI a!! a Department of Nuclear Engineering and Management, The

More information

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Journal of Multidisciplinary Engineering Science and Technology (JMEST) Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Ahmed K. Abbas 1, Mohammed K. Khalaf

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Advances in Intense Pulsed Light Solutions For Display Manufacturing XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Talk Outline Introduction to Pulsed Light Applications in Display UV Curing Applications

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements G. A. Cirino a, P. Verdonck a, R. D. Mansano a, L. G. Neto b a LSI-PEE-EPUSP

More information

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography Fabrication of a 34 34 Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography NANO LETTERS xxxx Vol. 0, No. 0 A-E G. Y. Jung, S. Ganapathiappan, Douglas A. A. Ohlberg, Deirdre L. Olynick,

More information

Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection

Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection X.M. Zhang, W. Wen, X.L.Li, X.T. Zhou published on Dec 2012 PHYS 570 Instructor

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

IMP EPD End Point Detector

IMP EPD End Point Detector IMP EPD End Point Detector An overview of the Hiden Analytical SIMS end point detector system for ion beam etch applications IMP-EPD Presentation Topics The topics covered in the presentation include:

More information

Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating

Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating Suzuki et al.: Multi-Chip Module Fabricated by W-CSP Method (1/8) Multi-Chip Module Fabricated by W-CSP Method using Excimer Laser Via-Hole Formation and Cu Plating Takashi Suzuki*, Toshio Tamura*, Atsushi

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Splat formation in plasma-spray coating process*

Splat formation in plasma-spray coating process* Pure Appl. Chem., Vol. 74, No. 3, pp. 441 445, 2002. 2002 IUPAC Splat formation in plasma-spray coating process* Javad Mostaghimi and Sanjeev Chandra Centre for Advanced Coating Technologies, University

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

A Plasma Emission Controller for Reactive. Magnetron Sputtering of Titanium Dioxide Films

A Plasma Emission Controller for Reactive. Magnetron Sputtering of Titanium Dioxide Films Adv. Theor. Appl. Mech., Vol. 5, 2012, no. 1, 1-10 A Plasma Emission Controller for Reactive Magnetron Sputtering of Titanium Dioxide Films Raad A. Swady DMPS, College of Arts & Sciences, University of

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

High efficiency and low absorption Fresnel compound zone plates for hard X-ray focusing

High efficiency and low absorption Fresnel compound zone plates for hard X-ray focusing High efficiency and low absorption Fresnel compound zone plates for hard X-ray focusing Kuyumchyan A.* a, Isoyan A.* a, Shulakov E. a, Aristov V. a, Kondratenkov M. a, Snigirev A. b, Snigireva I. b, Souvorov

More information

Final Report for AOARD Grant FA Focused Ion Beam Milling Applied in Future Tunable-Wavelength Nano-LED's Fabrication.

Final Report for AOARD Grant FA Focused Ion Beam Milling Applied in Future Tunable-Wavelength Nano-LED's Fabrication. Final Report for AOARD Grant FA2386-08-1-4119 Focused Ion Beam Milling Applied in Future Tunable-Wavelength Nano-LED's Fabrication Date: 1/7/2010 Name of Principal Investigators: - e-mail address : cpliu@mail.ncku.edu.tw

More information

Mechanical and magnetic properties of nanostructured CoNiP films

Mechanical and magnetic properties of nanostructured CoNiP films PRAMANA c Indian Academy of Sciences Vol. 67, No. 2 journal of August 2006 physics pp. 341 349 Mechanical and magnetic properties of nanostructured CoNiP films R N EMERSON 1, C JOSEPH KENNADY 2, and S

More information

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers Munsik Oh and Hyunsoo Kim * School of Semiconductor and Chemical Engineering and Semiconductor

More information