Seminar Micro- and Nano-technology

Size: px
Start display at page:

Download "Seminar Micro- and Nano-technology"

Transcription

1 Seminar Micro- and Nano-technology Seminar 1 Schedule Wednesday 17:45-18:30 Yannick Bourgin yannick.bourgin@uni-jena.de Phone: +49(3641)

2 Presentations 2 Presentation by 2 students 25 minutes presentation 15 minutes questions From the audience and then from me General informations Head lines Numering slides An introduction to the subject A conclusion

3 Criterion 3 Target Self-learning about a topic Synthetise informations Exposing and transmiting some information Be active!! You are at a master level Inactivity makes the seminar very boring for everybody Good to know... I do have internet I know wikipedia I was a student I have the presentations of the previous years

4 Criterion 4 The authors Self presentation (position, dressing, speaking...) English skills The talk Content is clear The targeted objectives are well presented Easy to follow Questions Quality and clarity of the answers

5 MNT seminar SS15 calendar 5 Presentation schedule Date Topic Students 13/05/2015 Clean Room and Cleaning Techniques 20/05/2015 Resist coating techniques 2 27/05/2015 Photo resists 2 03/06/2015 AFM 2 10/06/2015 SEM/FIB 2 17/06/2015 Diamond Turning 2 24/06/2015 Nano imprint litho/roll to roll 01/07/2015 Self Assembly Double/Q/O Patterning - LELE 08/07/2015 Direct self assembly

6 Clean room and cleaning techniques 6 Why working in clean room? Clean room classes (ISO normes) and dressing.. How a clean room works. Contaminant sources. Typical substrates used in micro-optics: Si, SiO2, glass Cleaning methods: Wet and dry Standart wet cleaning RCA Ultra sonic Plasma ashing Cleaning after the fabrication

7 Resist coating techniques 7 Spin coating wafer syringe dispensed fluid Principle and equipment Basic physics model Spin coating defects Dip coating Spray coating Thickness measurment of resist vacuum chuck Spin parameter settings

8 Photo resists 8 Resists properties tone (positive, negative) CD Thickness, sensitivity (l), dose... Photoresist structure (resin, solvent, photoactive compound) Photoresist processing (do not focus on the coating!!) Adhesion on substrate Baking (why?) Development Chemistry (reaction to UV light) Optical parameters (dill, cauchy)

9 Atomic Force Microscope 9 How an AFM works Forces involved Different type of operation (contact, non contact, tapping) Advantages and drawbacks Using AFM to make nano-structures

10 Scanning Electron Micrope Focussed Ion Beam 10 How a SEM works (principle, sources, detector) Electron-matter interaction (secondary or back scattered e, Auger e, X-ray) Preparation of the sample How a FIB works Different type of characterization (profile, chemistry) Advantages and drawbacks Using FIB to make nano-structures

11 Diamond Turning 11 Methode used (diamond turning, milling, shaping) Raster cutting Requirement for micro-optics For which kind of component

12 Nano Imprint Lithography roll to roll 12 Principle Thermal NIL UV-NIL Materials used Operation types (full wafer VS step and repeat) Introduction to the roll to roll technique

13 Self Assembly Double patterning Litho Etch Litho Etch 13 Principle of SADP Materials used SA Quadruple and Octuple Patterning Double exposure/lele Applications-resolution

14 Direct Self Assembly 14 Principle (What is DSA?) Phase diagramm of block copolymer Graphoepitaxy VS Chemoepitaxy Resolution Applications

15 Useful links 15 Interested links Some company provides free materials or courses: The Dow chemical company, Nikon, ASML... Microchemical Some university as well (MIT...) Read article and recent papers, lithography is field that progress quickly

16 MNT seminar SS15 calendar 16 Date Topic Students 13/05/2015 Clean Room and Cleaning Techniques -Johannes Wilde -Manuel Hopf 20/05/2015 Resist coating techniques -Vivek Beladiya -Mohammadreza Younesi 27/05/2015 Photo resists -Felix Kröger -Martina Riuz 03/06/2015 AFM -Olga Rusykina -Liyenn Song 10/06/2015 SEM/FIB -Malte Siems -Henning Stark 17/06/2015 Diamond Turning -Jui-hsin Chen -Ming-Snng Chao 24/06/2015 Nano imprint litho/roll to roll -Justus Bohn -Sabine Stück 01/07/2015 Self Assembly Double/Q/O Patterning - LELE -Gerrit Feldkamp -Parijat Barman 08/07/2015 Direct self assembly -Hui Zhou -Anda-Maria Tafrosi -Cristina Madonna Maqnedo

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Status of the DESY NanoLab Project

Status of the DESY NanoLab Project Status of the DESY NanoLab Project Ralf Röhlsberger DESY Wissenschaftlicher Ausschuss DESY 7 June 2011 Mission of the DESY NanoLab To accomodate the growing need for structural probes on the nanoscale,

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Large-area patterning by roller-based nanoimprint lithography

Large-area patterning by roller-based nanoimprint lithography JOANNEUM RESEARCH Forschungsgesellschaft Institute MATERIALS, Weiz, Austria Large-area patterning by roller-based nanoimprint lithography Ursula Palfinger, Dieter Nees, Stephan Ruttloff, Markus Leitgeb,

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Victor Usov, Graham Cross, Neal O Hara, Declan Scanlan, Sander Paulen, Chris de Ruijter, Daniel Vlasveld,

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

Microcontact Printing Procedures for Adhesive and Conductive Epoxies

Microcontact Printing Procedures for Adhesive and Conductive Epoxies Microcontact Printing Procedures for Adhesive and Conductive Epoxies This objective was accomplished through a formal record of the procedures to deliver a stamped product which met the benchmark mechanical,

More information

Via Fill in Small Trenches using Hot Aluminum Process. By Alice Wong

Via Fill in Small Trenches using Hot Aluminum Process. By Alice Wong Via Fill in Small Trenches using Hot Aluminum Process By Alice Wong Goals for Project Good Via Fill in Small contact holes using hot aluminum process Be able to get good images of the contact holes using

More information

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Masanori Shirai*, Satoru Takazawa*, Satoru Ishibashi*, Tadashi Masuda* As flat-screen TVs become larger and their

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Electron Beam Induced Processes and their Applicability to Mask Repair

Electron Beam Induced Processes and their Applicability to Mask Repair & Electron Beam Induced Processes and their Applicability to Mask Repair Hans W.P. Koops (1), Volker Boegli (1), Klaus Edinger (1), Johannes Bihr (2), Jens Greiser (2), (1) NaWoTec GmbH Rossdorf Germany

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2100 and SU-8 2150 www.microchem.com SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining and

More information

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2 Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 6 Through-wafer Interconnect EEL6935 Advanced MEMS 2005 H. Xie 1/21/2005 1 Motivations: Wafer-level packaging CMOS 3D Integration

More information

Optimizing spray coater process parameters

Optimizing spray coater process parameters Optimizing spray coater process parameters Dr. Eleonora Storace, Florian Palitschka, Dr. Dietrich Tönnies SUSS MicroTec Lithography GmbH Germany Published in the SUSS report 01/2014 E-mail: info@suss.com

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY 16 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY Tsung-Han Yu, Shenq-Yih Luo,

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Positive Photoresists

Positive Photoresists Positive Photoresists Gesellschaft für chemische Materialien spezieller Photoresistsysteme mbh Positive Photoresists map 1200 series Thick resists map 1275, map 1275 HV Unique features of the positive

More information

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Advances in Intense Pulsed Light Solutions For Display Manufacturing XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Talk Outline Introduction to Pulsed Light Applications in Display UV Curing Applications

More information

DuPont MX5000 Series

DuPont MX5000 Series DuPont MX5000 Series DATA SHEET & PROCESSING INFORMATION High Performance Multi-Purpose Polymer Film for MEMS Applications PRODUCT FEATURES/ APPLICATIONS Negative working, aqueous processable dry film

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Application of Coating Technology on the Zirconium-Based Alloy to Decrease High-Temperature Oxidation

Application of Coating Technology on the Zirconium-Based Alloy to Decrease High-Temperature Oxidation Application of Coating Technology on the Zirconium-Based Alloy to Decrease High-Temperature Oxidation Hyun-Gil Kim*, Il-Hyun Kim, Jeong-Yong Park, Yang-Hyun Koo, KAERI, 989-111 Daedeok-daero, Yuseong-gu,

More information

Deforming water droplet with a superhydrophobic silica coating

Deforming water droplet with a superhydrophobic silica coating Supplementary Information for Deforming water droplet with a superhydrophobic silica coating Xiaoguang Li*, Jun Shen Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology,

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon. Teja Roch

Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon. Teja Roch Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon Teja Roch Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon (ta-c) Content: Who are we? What are we doing? Why are

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Innovation Creativity customer-specific solutions. Product information PHOTORESISTS

Innovation Creativity customer-specific solutions. Product information PHOTORESISTS Product information PHOTORESISTS 1 Customer-specific solutions THE ALLRESIST GMBH Company for chemical Products OUR NEWS for Microstructuring As of January 2016 The executive board The company is represented

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Optical Waveguides In BenzoCyclobutene (BCB ) Polymer

Optical Waveguides In BenzoCyclobutene (BCB ) Polymer Jurnal Teknologi, 53 September 2010: 49 56 Universiti Teknologi Malaysia Optical Waveguides In BenzoCyclobutene (BCB 4024-40) Polymer Mohd Haniff Ibrahim 1*, Norazan Mohd Kassim 2, Abu Bakar Mohammad 3,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books Genesis of Friction between Macroscale contacts Reference: Chapter 3 of the text books What is friction? F = W s µ varies as a function of the sliding distance. 1 0.6 0.2 0 0 20 40 60 80 Di stan ce slid

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Towards scalable fabrication of high efficiency polymer solar cells

Towards scalable fabrication of high efficiency polymer solar cells Towards scalable fabrication of high efficiency polymer solar cells Hui Joon Park 2*, Myung-Gyu Kang 1**, Se Hyun Ahn 3, Moon Kyu Kang 1, and L. Jay Guo 1,2,3 1 Department of Electrical Engineering and

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne Electron Beam Lithography - key enabling technology in nanofabrication Frank Dirne Moore s Law (x2/2 yr) Moore s Law EBL Electron Beam Lithography - key enabling technology in nanofabrication - Principles

More information

Soft-lithography for Preparing Patterned Liquid Crystal Orientations

Soft-lithography for Preparing Patterned Liquid Crystal Orientations 2007 KIDS Soft-lithography for Preparing Patterned Liquid Crystal Orientations Hak-Rin Kim **a, Jong-Wook Jung **a, Min-Soo Shin **a, Myung-Eun Kim a, You-Jin Lee **a, and Jae-Hoon Kim *b Abstract We demonstrate

More information

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum

More information

Transient-Enhanced Surface Diffusion on Native-Oxide- Covered Si(001) Nano-Structures during Vacuum Annealing

Transient-Enhanced Surface Diffusion on Native-Oxide- Covered Si(001) Nano-Structures during Vacuum Annealing Transient-Enhanced Surface Diffusion on Native-Oxide- Covered Si(001) Nano-Structures during Vacuum Annealing H. Lichtenberger, M. Mühlberger, and F. Schäffler Institut für Halbleiterphysik, Johannes Kepler

More information

Specimen configuration

Specimen configuration APPLICATIONNOTE Model 1040 NanoMill TEM specimen preparation system Specimen configuration Preparing focused ion beam (FIB) milled specimens for submission to Fischione Instruments. The Model 1040 NanoMill

More information

Laser damage threshold of AR coatings on phosphate glass

Laser damage threshold of AR coatings on phosphate glass Laser damage threshold of AR coatings on phosphate glass Optical Coatings for Laser Applications Wednesday, 12 th April 2017, Buchs SG, Switzerland dirk.apitz@schott.com, SCHOTT Suisse SA, Advanced Optics,

More information

Presented at the 28th European PV Solar Energy Conference and Exhibition, 30 September 4 October, 2013, Paris, France

Presented at the 28th European PV Solar Energy Conference and Exhibition, 30 September 4 October, 2013, Paris, France INTEGRATING DIFFRACTIVE REAR SIDE STRUCTURES FOR LIGHT TRAPPING INTO CRYSTALLINE SILICON SOLAR CELLS J. Eisenlohr 1*, H. Hauser 1, J. Benick 1, A. Mellor 2, B. Bläsi 1, J.C. Goldschmidt 1, M. Hermle 1

More information

BIOACTIVE SILICIUM-CONTAINING COATINGS ON TITANIUM SUBSTRATE

BIOACTIVE SILICIUM-CONTAINING COATINGS ON TITANIUM SUBSTRATE Powder Metallurgy Progress, Vol.11 (2011), No 3-4 271 BIOACTIVE SILICIUM-CONTAINING COATINGS ON TITANIUM SUBSTRATE O. S. Antonova, V. V. Smirnov, S. M. Barinov, N. V. Bakunova, Ľ. Medvecký, J. Ďurišin

More information

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch Chapter 1.6 I - Substrate Specifications Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table Diameter 100 mm 4-inch 150 mm 6-inch Thickness 525 µm 20.5 mils 675

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations Processing Procedures CYCLOTENE 4000 Series Advanced Electronics Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process Regional Product Availability

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

Nanocoating close to the market

Nanocoating close to the market Nanocoating close to the market Moritz Graf zu Eulenburg Introduction Market overview Overview of most important coating aspects Description of different coating systems Description of different drying

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES M. Denoual *, P. Mognol **, B. Lepioufle * * Biomis-SATIE ENS-Cachan antenne de Bretagne, Campus Ker Lann, av Robert Schumann 35170

More information

Innovation Creativity Customer-specific solutions. Product information PHOTORESISTS

Innovation Creativity Customer-specific solutions. Product information PHOTORESISTS Product information PHOTORESISTS 1 THE ALLRESIST GMBH The executive board The company is represented worldwide with an extensive product range. In addition to our standard products, we also manufacture

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

ELECTRON MICROSCOPY MODERN SURFACE, LAYER AND DEFECT ANALYSIS USING REM, FIB, EDX, STEM

ELECTRON MICROSCOPY MODERN SURFACE, LAYER AND DEFECT ANALYSIS USING REM, FIB, EDX, STEM FRAUNHOFER INSTITUTE FOR MANUFACTURING ENGINEERING AND AUTOMATION IPA ELECTRON MICROSCOPY MODERN SURFACE, LAYER AND DEFECT ANALYSIS USING REM, FIB, EDX, STEM 1 METHOD Electron microscopy is the favourable

More information

NTT-AT Optical Adhesives Lineup. Adhesives / Resins and Sealants for Optical Component Assembly

NTT-AT Optical Adhesives Lineup. Adhesives / Resins and Sealants for Optical Component Assembly NTT-AT Optical Adhesives Lineup Adhesives / Resins and Sealants for Optical Component Assembly The adhesive technology used in optical communications is one of the key technologies we offer. Ask us anything

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Vacuum Plasma Deposition of Water and Oil Repellent Nano-coatings

Vacuum Plasma Deposition of Water and Oil Repellent Nano-coatings Vacuum Plasma Deposition of Water and Oil Repellent Nano-coatings AIMCAL Web Coating & Handling Conference 2014 Europe Dr Nicholas Rimmer P2i 127 Olympic Avenue, Milton Park, Abingdon, Oxfordshire, OX14

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

Vacuum casting, a new answer for manufacturing biomicrosystems

Vacuum casting, a new answer for manufacturing biomicrosystems 1 Vacuum casting, a new answer for manufacturing biomicrosystems M Denoual 1 *, P Mognol 2, and B Lepioufle 1 1 Biomis-SATIE ENS-Cachan antenne de Bretagne, Bruz, France 2 IRCCyN Nantes, France The manuscript

More information

ABSTRACT: INTRODUCTION:

ABSTRACT: INTRODUCTION: ABSTRACT: Nano-Composite Polymer Optical Coatings Tom Faris Vampire Optical Coatings, Inc. P.O. Box 240 Kirkersville, Ohio 43033 (740)-927-5257 f(740)-927-5032 vampirecoatings@earthlink.net Traditionally

More information

PeakForce Tapping and ScanAsyst An introduction to the technique featuring Bruker s Dimension Edge. Bede Pittenger, Ph.D.

PeakForce Tapping and ScanAsyst An introduction to the technique featuring Bruker s Dimension Edge. Bede Pittenger, Ph.D. PeakForce Tapping and ScanAsyst An introduction to the technique featuring Bruker s Dimension Edge Bede Pittenger, Ph.D. Dimension Edge with ScanAsyst: High performance AFM breaking down cost and productivity

More information