Tokyo Electron Corporate Update

Size: px
Start display at page:

Download "Tokyo Electron Corporate Update"

Transcription

1 Tokyo Electron Corporate Update January 30,

2 Contents 1. TEL Overview 2. Medium-term Management Plan TEL Initiatives and Progress 3. FY2018 Business Environment and Financial Estimates Appendix 1: Etching System Appendix 2: FPD Production Equipment Appendix 3: Financial Data

3 TEL Overview 3

4 TEL is Innovative and Flexible to Market Change (Billion Yen) 1, Distributor of other suppliers products TEL consolidated net sales Established development/manufacturing functions Globalization Production reform Striving for new growth (FY) (E) Established first manufacturing JV (TEL-Thermco) Discontinued export business of consumer products Listed on TSE #2 in1980 and #1 in 1984 Began overseas direct operations Strengthened corporate governance Semiconductor applications Mainframe computer PC Mobile phone Consumer electronics, etc 4

5 Financial Performance: Sales and Operating Margin (Billion Yen) 1,200 TEL Net sales 1, % 1, Operating income Operating margin % % % % 19.5% % 14.4% 40% 30% 20% % 2.5% 10% % -4.4% -0.5% -10% (FY) (E) 4M DRAM oversupply Financial crisis in Asia 64M DRAM oversupply IT bubble crash Excessive logic foundry investment World financial crisis Memory oversupply Effects of European debt crisis, slowdown in emerging markets Weak demand for PC, mobile 5

6 The Market TEL Participates in Electronic System CY2016 World Market US$ 1,742B FPD Production Equipment (FPD) FY2017 TEL Sales (April 2016 March 2017) 49.3B 6% Others 0.4B, 0% Semiconductor US$ 343B 799.7B WFE * CAPEX US$ 37B 749.8B, 94% Semiconductor Production Equipment (SPE) *The semiconductor production process can be divided into two sequential subprocesses: front-end (wafer fabrication) and back-end (assembly and test) production. WFE is used in the front-end production process. Front-end production equipment includes equipment for wafer level packaging. Source: Gartner, Forecast: Semiconductor Wafer Fab Manufacturing Equipment, Worldwide, 1Q17 Update 13 April 2017 Charts/graphics created by Tokyo Electron based on Gartner research. 6

7 TEL Main Products World Market Share (CY2016) Semiconductor Production Equipment 23% 37% 20% 90% Coater/Developer Dry Etch System Deposition System Cleaning System FPD Production Equipment (FY2017) 13% 29% 38% 55% 53% ALD CVD Oxidation/Diffusion FPD Coater/Developer Source (FPD): TEL survey FPD Etcher/Asher Source: Gartner, Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016, 30 March 2017, Charts/graphics created by Tokyo Electron based on Gartner research. Coater/Developer: Photoresist processing (Track), Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD,, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube LPCVD, Oxidation/Diffusion: Oxidation/diffusion, TEL survey: Dry Etch System and Cleaning System 7

8 World Top 10 SPE Makers CY2016 Revenue Ranking Applied Materials (Billions of US$) 9.87 ASML 7.33 Lam Research Tokyo Electron KLA-Tencor 3.19 SCREEN Advantest Teradyne Hitachi High-Technologies ASM Pacific Technology Source; The Chip Insider Equipment & Emerging Markets (VLSI Research, May 2017) Exchange Rates: 1US$=

9 Medium-term Management Plan TEL Initiatives and Progress 9

10 Wafer Fab Equipment (WFE) Market Outlook Future growth drivers PC, internet, mobile + VR/AR/MR *, IoT, AI, RPA **, machine learning, big data, autonomous driving, personalized medicine * VR/AR/MR: Virtual reality/augmented reality/mixed reality ** RPA: Robotic process automation ($ Billion) WFE market growth accelerating. Investment expanding to $50B+ CY'13 CY'14 CY'15 CY'16 CY'17 CY'18 Equipment market is moving to the next phase amid expanding applications for semiconductors Source: VLSI Wafer Fab Equipment (WFE) Market History and Forecast January Graph created by TEL using above data 10 Equipment for wafer-level packaging is not included in the market size here.

11 Financial Model (toward FY2020) FY2017 (Actual) FY2018 (Estimate) FY2020 (Medium-term plan) WFE $37B WFE $49B WFE $42B WFE $45B Net sales , , ,200.0 SPE , ,120.0 FPD Gross profit Gross profit margin SG&A expenses SG&A expense ratio Operating income Operating margin Net income attributable to owners of parent % % % % % % % % % (Billion Yen) % % %

12 Gross Profit (WFE $45B) Gross profit margin: up 3.2pts Gross profit Gross profit margin FY2017 (Actual) % FY2018 (Estimate) % FY2020 (Medium-term plan) % (Billion Yen) Growth (FY 17-FY 20) +62% +3.2pts Raise marginal profit ratio of core SPE products Timely introduction of new products to an expanding market Lower cost ratio through product quality improvements Raise marginal profit ratio of FPD production equipment 12

13 SG&A Expenses (WFE $45B) SG&A expense ratio: improve by 3.3pts SG&A expenses SG&A expense ratio FY2017 (Actual) % FY2018 (Estimate) % FY2020 (Medium-term plan) % (Billion Yen) Growth (FY 17-FY 20) +26% -3.3pts Cost reductions through integration of development units Establish Tokyo Electron Technology Solutions Ltd. Realized benefits of integration of Coater/Developers and Cleaning System business units Raise business productivity, control fixed costs Improve business efficiency of service divisions Control development expenses at level appropriate to balance with current profitability 13

14 R&D Expenses, Capex Plans Implement product development needed for growth, while raising efficiency and maintaining profits Capex (development + production facilities) to be limited to around 15.0B annually (Billion Yen) R&D expenses FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018 (Estimate) FY2020 (Medium-term plan) (Billion Yen) 60 Capex Depreciation FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018 FY2020 (Estimate) (Medium-term plan) 14

15 SPE Business Strategy: Etching System Achieving success in DRAM as planned. Continue to Focus on 3D NAND and Logic DRAM Capacitor processes (HARC) Interconnects Interconnects Capacitor 3D NAND HARC * processes Improve our position in 9X/12X through our clear lead in productivity and etch profile CY 17 CY 18 CY 19 6X 6X/9X 9X Logic Maintain high interconnecting dielectric process market share Enhance our position in 7nm and finer advanced patterning Lower customer patterning costs through combining multiple steps into one Simplify processes Word line isolation (Slit) Channel (Memory hole) Multi-level contact Interconnects FinFET Market share CY 14 (Actual) CY 15 (Actual) CY 16 (Actual) CY 19 (Target) Etching System 28% 21% 23% >30% * HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology 15

16 SPE Business Strategy: Deposition System Aim to expand earnings based on new technologies for further miniaturization and next-generation semiconductors ALD system Achieve both high quality film formation and high productivity needed for miniaturization and for 3D structure with semi-batch system ALD system market CAGR >10% 150.0B CVD system Differentiate in memory through our clear lead in batch system productivity Achieve high quality metallization to enable further miniaturization 90.0B Semi-batch ALD system NT Source: TEL estimate Batch system TELINDY PLUS Metallization system Triase+ Market share CY 14 (Actual) CY 15 (Actual) CY 16 (Actual) CY 19 (Target) Deposition system 38% 38% 37% >47% Source: Gartner, Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016, 30 March 2017, Charts/graphics created by Tokyo Electron based on Gartner research. Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD 16

17 SPE Business Strategy: Cleaning Systems Expand sales of CELLESTA single wafer cleaning system Expand applications based on backside and bevel cleaning * and drying technology that prevents pattern collapse during the post-etch cleaning processes Secure key 3D NAND processes through batch cleaning Provide high quality and productivity in the metal etching, polysilicon etching, and nitride film removal processes required for precise controllability Apply best known coater/developers methods to cleaning system business Share leading-edge technology and expertise by unifying R&D Single wafer cleaning system CELLESTA Batch cleaning system EXPEDIUS TM Market share CY 14 (Actual) CY 15 (Actual) CY 16 (Actual) CY 19 (Target) Cleaning system 19% 18% 20% >24% * Bevel cleaning: process for removing film from the outer part of the wafer 17

18 Field Solutions (FS) Business: Medium-term Plan Field Solutions sales FS business strategy FY'15 FY'16 FY'17 FY 18(E) FY'18(E) WFE$45B 320.0B FY 20 (Medium-term plan) Used equipment and modification Parts and services Respond to new customer needs driven by IoT Provide upgrades and remanufactured equipment that handle new applications Contribute to improving customer productivity Provide added-value services using remote connections (Installed base of 62,000 units) Increase earnings in both the used equipment/modification and parts/service segments through a business model that utilizes makers strengths 18

19 FY2018 Business Environment and Financial Estimates (FY2018: April 1, March 31, 2018) 19

20 Business Environment (Outlook as of January 2018) WFE * Capex CY2018 investment driven by buoyant demand for memory, expect YoY growth of approx. 10%. FPD production equipment capex for TFT array process ** We expect CY2018 investment in production equipment increase of approx. 20% YoY with demand driven by continued investment in small/medium panels for mobile and expanded investment in G10.5. Accelerating growth in the equipment market on active investment in IoT and next generation technologies * WFE (Wafer fab equipment): The semiconductor production process is divided into front-end production, in which circuits are formed on wafers and inspected, and back-end production, in which wafers are cut into chips, assembled and inspected again. Wafer fab equipment refers to the production equipment used in front-end production and in wafer-level packaging production. ** TFT array process: The processes of manufacturing the substrates with the electric circuit functions that drive displays 20

21 CY 18 WFE Market Growth and Business Opportunities by Application NAND: Market growth of 5% YoY forecast Capex: 9X generations to comprise 50% Driving force: Growth in SSD demand for data centers Opportunity: Differentiation through high value-added etch DRAM: Increase of more than 30% Capex: Capex for 1X/1Ynm generation to comprise 80% (10k/wspm) Driving force: Average mobile device capacity up 25% YoY, server capacity up 30% Opportunity: Differentiation through combined patterning and our strength in interconnects Logic/Foundry: Same level forecast Capex: 10nm and beyond generation to comprise 60% Driving force: Demand for higher performance, lower power consumption Opportunity: Business expansion in more complex patterning processes D NAND production capacity TEL estimate CY'15 CY'16 CY'17 CY'18 CY'19 year-end 21

22 Drivers of Investment in NAND Flash Breakdown of NAND bit demand by application *Ratios on graph are in comparison with total bit demand, which is 100 SSD bit growth CAGR 50.9% 40% 40% 43% 29% 38% 35% 49% 30% 51% 30% CY'17(E) CY'18(E) CY'19(E) CY'20(E) CY'21(E) Others SSD Mobile Source: Gartner, Forecast: NAND Flash Supply and Demand, Worldwide, 1Q16-4Q18, 4Q17 Update, 02 January Charts created by Tokyo Electron based on Gartner research. Mobile: Ultramobile + Phone, Basic, Smart OS + Phone, Premium, Smart OS + Phone, Utility, Smart OS, CAGR: CY 17-CY 21 NAND bit growth driven by SSD SSD CAGR 50.9%(CY 17-21) Mobile CAGR 32.0%(CY 17-21) Rapid increase in demand for server capacity *Capacity is aggregate of SSD and HDD CY EB CY 21 1ZB Big potential for growth in SSD usage Only used in 9% of servers in CY 17 EB: byte, ZB: byte Usage in PCs also low at 39% in CY 17 Source: Gartner, Forecast: Hard-Disk Drives, Worldwide, , 3Q17 Update, 13 November Server: Total Enterprise HDD/SSD PB, PC: Total Notebook/Ultramobile Premium and Desk-Based/Workstation PCs Investment driven by rapid increase in storage capacity demand through IoT and increase in SSD usage 22

23 FY2018 Financial Estimates (no change from Oct. 31, 2017 announcement) FY2017 (Actual) FY2018 (Estimates) 1 st half 2 nd half Full year Full year Actual Estimates Estimates YoY change Net sales , % SPE , % FPD % Gross profit Gross profit margin % % % % pts SG&A expenses Operating income Operating margin % % % % pts Income before income taxes Net income attributable to owners of parent Net income per share (Yen) , Expect large increase in sales and profit on increases in investment and market share (Billion yen) SPE: Semiconductor production equipment, FPD: Flat panel display production equipment 23

24 FY2018 SPE Division New Equipment Sales Forecast (Billion yen) % 40% 16% 27% Q1 (Actual) FY2018 sales by application DRAM Non-volatile memory % 35% 8% 32% Q2 (Actual) Logic foundry Logic & others % 41% % 40% 12% 10% 26% 19% Q3 (Actual) Q4 (Estimates) DRAM Sharp increase in investment on tight supply 3D NAND Strong demand for SSD Begin supplying equipment for next 9X generation Logic/Foundry Continue investment in 10/7nm generation Firm investment in 28nm and above generation too Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included. The Q4 forecast of billion yen was derived by subtracting the Q3 results from the forecast for the second half announced on October

25 FY2018 SPE Business Progress Full year sales for memory expected to double YoY on growth in market and market share Grew sales in FS business; nine-month sales of billion yen, up 22% YoY * Sales expansion of strategic products proceeding well Miyagi plant expansion (etch system) Began operations at logistics building Plan to complete new development building by September Doubling of production capacity by October Tokyo Electron Miyagi SPE business strategy progressing as planned, growing profit from next generation technology *Including FS sales in the FPD business. 25

26 FY2018 FPD Business Progress Establishing our leading position in G10.5 Introduced new Betelex platform etch equipment Expansion of PICP * etch system going well Full year small/medium panel sales expected to approx. double YoY, captured large panel business too FPD Coater/Developer Exceliner FPD Etch/Ash System Impressio FPD Etch/Ash System Betelex FPD business strategy is proceeding well, expect profit ratio to increase according to plan *PICP: Plasma source for producing extremely uniform high density plasma on substrate 26

27 FY2018 Dividend Forecast (no change from Oct. 31, 2017 announcement) (Yen) yen 143 yen Dividend per share 237 yen 352 yen 605 yen Year-end 328 yen Interim 277 yen FY'14 FY'15 FY'16 FY'17 FY'18(E) TEL shareholder return policy Dividend payout ratio: 50% Annual DPS of not less than 150 yen We will review our dividend policy if the company does not generate net income for two consecutive fiscal years We will flexibly consider share buybacks Expect to raise DPS more than 70% YoY 27

28 Key Messages for FY2019 Further market growth expected in CY2018 (WFE market +10%, FPD equipment market +20%) Outperforming market growth in both the SPE and FPD businesses Continue proactive investment in development for expanding equipment market Accelerate development of process integration for 5nm generation and beyond Expand FS business corresponding to IoT applications 28

29 Appendix 1: Etching System 29

30 3D NAND Business Opportunities Ratio of etching systems in NAND CY Other systems 85% Etching systems 15% Other systems 50% 3D NAND HARC * processes CY 19 Etching systems 50% TEL estimates CY 17 CY 18 CY 19 6X 6X/9X 9X Increase in 3D NAND HARC * processes Investment per 10k/wspm ** ($M) 6X 9X 12X HARC processes (multi-level contact/word line isolation/channel) Other etching processes Etching process total D NAND 6X 9X (Greenfield, TEL estimates) Multi-level contact Word line isolation (Slit) Channel (Memory hole) Expand HARC processes by increasing number of stacked layers * HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology ** wspm: wafer starts per month 30

31 3D NAND: Approach and Results Maintained a 100% share of the multi-level contact processes. Plan to further increase revenues by integrating mask process to improve productivity Captured new customer PORs with 9X generation word line isolation. Aim to increase our position by integrating mask process Realize the capture of channel processes through new technology Improve productivity by integrating processes A single system that combines mask and main processes normally processed by different systems Improved productivity Mask process Main process Expand our position by dramatically improving profile and productivity 31

32 Logic: Approach and Results Maintained high interconnecting dielectric etching process market share Aim to expanded advanced patterning applications Interconnects Advanced patterning RLSA plasma source ALE concept process Plasma etch systems Tactras RLSA Realize high selectivity through low electron temperature plasma Achieve high-level of control over dimension variation Aim to increase sales by differentiating our technology in 7nm and finer advanced patterning 32

33 DRAM: Approach and Results Captured BEOL processes by leveraging expertise in logic through our strength in damascene processes Captured development PORs with all customers using 1Y generation capacitor process (HARC) Realized reduced patterning costs for customers by combining etching steps. Currently using successes with strategic customers to expand market share in other customers Interconnects Capacitor (HARC) Simplify process and raise productivity by combining multiple etching steps Currently achieving success in all DRAM focus processes in line with plan 33

34 Appendix 2: FPD Production Equipment 34

35 Display Trends Technology inflection creates greater business opportunities Increasing screen size OLED Color filter LCD TFT Backlight Emissive layer TFT LCD OLED Increasing resolution TV FHD 4K 8K Smartphones 300 ppi 700 ppi Design flexibility Flexible, edge bent, free format 35

36 FPD Business Medium-term Plan Increase share and profitability in market that has begun to grow again FY2020 target: sales 80.0B, operating margin over 20% (Billion Yen) % 80 30% % 10% Sales Operating margin 20 0% 0 FY2015 FY2016 FY2017 FY2018 (E) FY2020 (Medium-term plan) -10% 36

37 Medium-term Plan Progress: Highlights TEL s product strategy for a new PICP * etching system is progressing according to plan Expanding the G10.5 equipment business G10.5 G8.5 Coater/developers and etching system PICP etching system for large-sized panels PICP etching system for small- and medium-sized panels G6 New Betelex platform OLED process (G6H) Higher profitability for all panel generations * PICP: Plasma source for producing extremely uniform high density plasma on substrate 37

38 3,370 mm Opportunity G10.5 Equipment Market Greater than expected investment and market expansion Maintain high market share through technological differentiation (large area plasma suppression, air floating coater) G10.5 TFT array equipment market ($M) 3,500 3,000 2,500 Eight 65 inch TV panel substrate possible 65 2,000 1,500 1, Results based on IHS Markit, Technology Group Display Supply Demand & Equipment Tracker, Q Results are not an endorsement of Tokyo Electron. Any reliance on these results is at the third party's own risk. Visit technology.ihs.com for more details. 2,940 mm 38

39 Opportunity Metal Oxide/LTPS Higher sophistication of etching technology and increased number of processes TFT a-si Metal oxide LTPS Representation of structure Application Number of masks Dry etch processes LCD TV Monitor OLED TV Tablet Smartphone (LCD/OLED) a-si, SiNx SiO, SiNx SiO, Metal Further new needs Flexible displays +2 processes OLED process (G6 half size) +3-4 processes 39

40 Mm 2 / YR Opportunity Growth of OLED TV Market Introduced inkjet printing system to meet production demand from 2018 Material utilization significantly more efficient than current evaporation method Increase in OLED TV manufacturers New manufacturers in Japan 8 7 OLED TV area demand Toshiba REGZA X910 series Launched March 2017 Sony BRAVIA A1 To be launched June 2017 Panasonic VIERA TH-65EZ1000/EZ950 series To be launched June Results based on IHS Markit, Technology Group Display Supply Demand & Equipment Tracker, Q Results are not an endorsement of Tokyo Electron. Any reliance on these 40 results is at the third party's own risk. Visit technology.ihs.com for more details.

41 Appendix 3: Financial Data 41

42 FY2017 Financial Highlights Net Sales and Gross Profit Margin Operating Income and Operating Margin 19.5% Net Income and ROE (Billion Yen) (Billion Yen) (Billion Yen) % 150 Net sales Operating income 17.6% Net income Gross profit margin Operating margin ROE % % % 40.2% 40.3% 11.8% 13.0% % 33.0% % % 5.3% % 0 2.5% % % 0 FY2013 FY2014 FY2015 FY2016 FY FY2013 FY2014 FY2015 FY2016 FY % -50 FY2013 FY2014 FY2015 FY2016 FY2017 Net sales increased by 20% YoY, highest ever SPE * sales Set new record highs for GPM, OPM and net income * SPE: Semiconductor Production Equipment 42

43 Financial Summary (Billion yen) FY2017 FY2018 Q3 Q4 Q1 Q2 Q3 vs. Q2 FY2018 Net sales % Gross profit Gross profit margin % % % % % -10.3% -1.0pts SG&A expenses % Operating income Operating margin % % % % % -15.2% -1.9pts Income before income taxes % Net income attributable to owners of parent % R&D expenses % Capital expenditures % Depreciation and amortization % 1. In principle, export sales of Tokyo Electron s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. 2. Profit ratios are calculated using full amounts, before rounding. 43

44 Segment Information SPE (Semiconductor production equipment) (Billion Yen) Sales Segment income Segment profit margin % 50% FPD (Flat panel display production equipment) (Billion Yen) 30 Sales Segment income Segment profit margin 25 60% 50% 100% Composition of Net Sales Others FPD % 43.4 Q3 FY' % 29.8% 29.6% 28.6% 67.6 Q Q1 FY' Q Q3 40% 30% 20% 10% 0% % 0.4 Q3 FY' % 2.3 Q % 0.4 Q1 FY' % Q % 3.0 Q3 40% 30% 20% 10% 0% 50% 0% Q3 FY'17 Q4 Q1 FY'18 Q2 Q3 SPE 1. Segment income is based on income before income taxes. 2. R&D expenses such as fundamental research and element research are not included in above reportable segments. 3. Composition of net sales figures is based on the sales to customers. 44

45 SPE Division: Sales by Region (Billion Yen) Q3 FY 16 Q4 Q1 FY 17 Q2 Q3 Q4 Q1 FY 18 Q2 Q3 Japan North America Europe South Korea Taiwan China S. E. Asia, Others

46 SPE Division: New Equipment Sales by Application (Billion Yen) 250 DRAM Non-volatile memory Logic Foundry Logic & others (MPU, AP, Others) % % 20% 25% 38% 23% 23% 30% 17% 27% 27% 22% 25% 21% 23% 25% % 18% 17% 31% 22% 32% 23% 19% 17% 31% 27% 22% 32% 25% 23% 19% Q3 FY'16 38% 18% Q4 Q1 FY' % 31% 18% 31% 32% 32% 25% 19% 12% % 16% 16% 24% 32% 32% 34% 26% 25% 25% 26% % 22% 16% 27% 27% 24% 27% 27% 34% 24% 26% 22% % 17% 27% 40% 27% 16% 27% 24% 24% 27% Q2 Q3 Q4 Q1 FY' % 25% 25% 40% 35% 35% 8% 16% 8% 32% 27% Q % 41% 12% 26% Q3 High level of investment in non-volatile memory maintained Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included. 46

47 Field Solutions Sales (Billion Yen) Q3 FY 16 Q4 Q1 FY 17 Q2 Q3 Q4 Q1 FY 18 SPE Sales FPD Sales Q2 Q3 47

48 Balance Sheet Assets (Billion Yen) Liabilities & Net Assets (Billion Yen) , , Q3 FY'17 Q4 Q1 FY'18 Q2 Q3 Cash & cash equivalents* Trade notes, accounts receivables Inventories Other current assets Tangible assets Intangible assets Investment & other assets Q3 FY' Q4 Q1 FY'18 1, , Q2 Q3 Liabilities Net assets * Cash and cash equivalents: Cash and deposits + Short-term investments, etc. (Securities in B/S). 48

49 Inventory Turnover and Accounts Receivable Turnover (Billion Yen) (Days) Net sales Inventory turnover Accounts receivable turnover Q3 FY'16 Q4 Q1 FY'17 Q2 Q3 Q4 Q1 FY'18 Q2 Q3 0 Turnover days = inventory or accounts receivable at the end of each quarter / last 12 months sales x

50 Consolidated 10-year Financial Summary (Million Yen) FY2008 FY2009 FY2010 FY2011 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 Net Sales 906, , , , , , , , , ,719 Semiconductor production equipment 726, , , , , , , , , ,893 FPD production equipment 68,016 88,107 71,361 66,721 69,888 20,160 28,317 32,709 44,687 49,387 PV production equipment 3,805 3,617 Computer network 111,181 94,207 84,473 90,216 84,867 84, ,726 Electronic components Other , Domestic sales 323, , , , , , ,630 95, , ,122 Overseas sales 582, , , , , , , , , ,597 Gross profit 311, , , , , , , , , ,291 Gross profit margin 34.4% 27.0% 25.9% 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% SG&A expenses 142, , , , , , , , , ,594 Operating income 168,498 14,710-2,180 97,870 60,443 12,548 32,204 88, , ,697 Operating margin 18.6% 2.9% -0.5% 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% Ordinary income 172,713 20,555 2, ,919 64,046 16,696 35,487 92, , ,549 Income before income taxes 169,219 9,636-7,767 99,579 60,602 17,766-11,756 86, , ,116 Net income attributable to owners of parent 106,271 7,543-9,033 71,924 36,725 6,076-19,408 71,888 77, ,208 Depreciation and amortization 21,413 23,068 20,001 17,707 24,197 26,630 24,888 20,878 19,257 17,872 Capital expenditures 22,703 18,107 14,918 39,140 39,541 21,773 12,799 13,183 13,341 20,697 R&D expenses 66,072 60,987 54,074 70,568 81,506 73,248 78,663 71,349 76,286 83,800 Interest-bearing debt 36,069 3,806 5,105 7,996 4,402 3,756 13,531 Equity 534, , , , , , , , , ,094 Total assets 792, , , , , , , , , ,447 Debt-to-equity ratio 6.7% 0.7% 1.0% 1.4% 0.8% 0.6% 2.3% Equity ratio 67.5% 77.5% 73.5% 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2% ROE 21.4% 1.4% -1.8% 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1% Cash flow from operating activities Cash flow from investing activities Cash flow from financing activities Net income per share Cash dividends per share 116,939 81,030 48,284 83,238 29,712 84,266 44,449 71,806 69, ,948-30, ,621 9,613-35,881-8, ,769-19, , ,013-28,893-27,033-46, ,236-27,334-10, , ,600-39, Number of employees 10,429 10,391 10,068 10,343 10,684 12,201 12,304 10,844 10,629 11,241 50

51 Disclaimer regarding forward-looking statement Forecast of TEL s performance and future prospects and other sort of information published are made based on information available at the time of publication. Actual performance and results may differ significantly from the forecast described here due to changes in various external and internal factors, including the economic situation, semiconductor/fpd market conditions, intensification of sales competition, safety and product quality management, and intellectual property-related risks. Processing of numbers For the amount listed, because fractions are rounded down, there may be the cases where the total for certain account titles does not correspond to the sum of the respective figures for account titles. Percentages are calculated using full amounts, before rounding. Exchange risk In principle, export sales of Tokyo Electron s mainstay semiconductor and FPD panel production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. Accordingly, the effect of exchange rates on profits is negligible. Disclaimer regarding IHS Markit data (Page 38, 40) The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. ( IHS Markit ) and represent data, research, opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the date of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or responsibility to update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and completeness thereof are not warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors or omissions or any loss, damage or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of the IHS Markit Materials may be reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with IHS Markit s permission must display IHS Markit s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other trademarks appearing in the IHS Markit Materials are the property of IHS Markit or their respective owners. Disclaimer regarding Gartner data (Page 6, 7, 16, 22) All statements in this presentation attributable to Gartner represent Tokyo Electron s interpretation of data, research opinion or viewpoints published as part of a syndicated subscription service by Gartner, Inc., and have not been reviewed by Gartner. Each Gartner publication speaks as of its original publication date (and not as of the date of this presentation). The opinions expressed in Gartner publications are not representations of fact, and are subject to change without notice. FPD: Flat panel display 51

52 52