Customer Support: Leveraging Value of Ownership

Size: px
Start display at page:

Download "Customer Support: Leveraging Value of Ownership"

Transcription

1 Customer Support: Leveraging Value of Ownership Bernard Carayon SVP Customer Support WW Analyst Day, 30 September 2004 / Slide 1

2 Agenda! Customer Support main activities! Worldwide Organization and installed base! Financial highlights! Key achievements and projects 2004! Advanced Application Support! Strategy going forward & summary / Slide 2

3 ASML Customer Support main activities! Pre-installation and installation at customer sites! Warranty and after warranty support! Technical support! Spare parts supply! Technical training ASML + customer engineers! Field options and upgrades supply! System relocations! Application Support / Slide 3

4 Customer Support Worldwide Organization & Equipment installed base EUROPE Employees: 260 Installed base: 419 systems Veldhoven central function: 220 Employees USA Employees: 722 Installed base: 962 systems ASIA Employees: 610 Installed base: 1123 systems / Slide 4

5 M Euro The effect of a growing installed base 250 ASML service revenue - parts & labor (est) / Slide 5

6 The Asian opportunity Service revenues / system / continent 120 K Euro (est) USA Europe Asia / Slide 6

7 Customer Support key achievements and projects 2004! Customer Satisfaction! TWINSCAN TM Installation Cycle Time (ICT)! TWINSCAN TM reliability! E-diagnostic! E-Training / Slide 7

8 Customer satisfaction VLSI survey Top 10 Large Suppliers of Wafer Processing Equipment 2004 Rank Company 1 Varian Semiconductor 2 Tokyo Electron Limited 3 ASML 4 Canon 5 Hitachi Kokusai Electric Inc. 6 Novellus Systems, Inc. 7 ASM International 8 Ulvac, Inc. 9 Dainippon Screen Mfg. Co., Ltd. 10 Nikon Corporation / Slide 8

9 TWINSCAN TM Installation Cycle Time (ICT) From Delivery to Site Acceptance Test (SAT) 70 cycle time [cal days] ASML average ASML fast Competition estimate ASMLAverage installation 300mm ASML fast installation 300mm Competition 300mm 0 / Slide 9

10 TWINSCAN TM Fast Installation examples 2004 Customer A, Taiwan System type: TWINSCAN AT:850 Installation start / end date: July 18 th / August 7 th Total Installation Cycle Time (ICT): Customer B, Korea System type: TWINSCAN AT: 850 Installation start / end date: May 5th / May 26 th Total Installation Cycle Time (ICT): Customer C, Japan System type: TWINSCAN AT: 1200 Installation start / end date: June 28 th / July 21 st Total Installation Cycle Time (ICT): 20 Days 21 Days 23 Days / Slide 10

11 Reliability over >150 systems used in production MTBI - Mean time between interrupts / Slide 11

12 E- Diagnostics - Innovation in service What is E-Diagnostics! Remote access to lithography tools in the field! Automatic data collection Why E-Diagnostics?! Reduce Mean Time To Repair (MTTR) and down time! Less technical experts to travel to customer site! Remote locations can be supported from a central location! Enhance equipment performance management and predictive maintenance / Slide 12

13 E- Diagnostics Status of E-Diagnostic at ASML! Industry acceptance of E-Diagnostics is growing: Currently installed at 100 TWINSCAN TM machines at 10 customer sites World Wide! Currently used for performance data collection and trouble shooting support. Further E-diagnostic functionality to be released within the next 12 months. / Slide 13

14 E- Diagnostic! Demo / Slide 14

15 ASML Training:! Customer Support Training Department provides Technical Training to both our Customers and ASML Engineers! Over 4500 man-weeks of training per year (average 90 people /week)! Training center locations: Netherlands, USA, Korea! Currently looking into expansion of use of E-Training / Slide 15

16 E-Training - Innovation in training! Improve Engineer technical knowledge:! Be trained on time, no capacity limitation! Improve learning retention: estimate 25% higher than conventional training! Improved consistency in skill level due to automated quizzing! More graphics & interaction! Lower Training Cost:! Less training equipment & facility required! Less traveling & lodging costs! Being trained without leaving office / Slide 16

17 The growing importance of TWINSCAN TM E-Training 100% 80% 60% 40% Traditional Training E-Training 20% 0% / Slide 17

18 E- Training! Demo / Slide 18

19 Advanced Application Support Jack Gemen Application Business Support Manager Analyst Day, 30 September 2004 / Slide 19

20 The driving factor in Semiconductor Lithography! Semiconductor Industry keeps shrinking the Critical Dimension (CD) to improve chip performance creating higher yield and reduce chip size CD = k1 x λ NA / Slide 20

21 The world behind Lithographic Equipment Lowering k1, growing complexity! Semiconductor Litho engineering teams see themselves faced with an ever increasing challenge to use expensive Lithographic Equipment in the most optimal way Focus Control / Slide 21

22 The world behind Lithographic Equipment Managing Complexity Focus Control / Slide 22

23 The world behind Lithographic Equipment Managing Complexity 2004 / Slide 23

24 The world behind Lithographic Equipment Managing Complexity Focus Control / Slide 24

25 The world behind Lithographic Equipment Managing Complexity Dose Optimization Focus Control / Slide 25

26 ASML s Application Support Organization! The Application Support Organization:! Integrates Lithography Systems in volume manufacturing! Day to day assists customers in Optimizing Litho Area Performance: Overlay, Imaging, Productivity! Provide technical pre-sales on Field Options! 30 Litho Process Engineers in Head Quarters! 130 Engineers World Wide located at customer fabs / Slide 26

27 ASML s Application Support Organization Example activities! Semicon Fab Startup Support! Dedicated WW operational team delivering per site 6 months Fab Startup support.! Reduce time between system install and First Silicon Out! Optimize Imaging/Overlay/Productivity Litho Area Performance! Focussed projects to bring customers a significant improvement in Litho performance within 3 months! Main Spin-off is additional Option Sales / Slide 27

28 Semicon Fab Startup Support Mission is to support customers exceeding the ITRS roadmap on time between first System Install and first Silicon Out Months ASML Supported Startups New Fab Start-Up Roadmap [1 st litho system move-in to 1 st silicon out] technology node: 130nm 100nm 80nm 65nm ITRS Roadmap 45nm Value of Accelerated Fab Start-Up Wafer Starts Per Week Chip market price Accelerated Start-Up High value in fast startup, due to speed of decline of Chip Prices / Slide 28 Time line

29 Semicon Fab Startup Support Activities 1st System Move-in PREPARATION INSTALLATION PROCESS QUAL 1st Silicon Out PILOT PRODUCTION VOLUME PRODUCTION TIME FAB WAFER RAMP-UP OUTPUT! Preparation & Implementation of Litho Strategies! System/Process qualification! Performance optimization on Imaging/Overlay/Productivity / Slide 29

30 Semicon Fab Startup Support Historical Results! The ASML Applications Organization supported 23 new fab startups: Asia (15), EU (2), USA (6)! Supported fabs include: 300mm (10) and 200mm (13) fabs! Supported process transfers:! DRAM, Flash, Logic products of IDM and Foundry fabs! < nm technology! Participated in record new fab startup in 2003: from first system move-in > first silicon out in 7 weeks / Slide 30

31 Litho Area Performance Improvement Productivity example Productivity Bench Mark: ASML s WW WW Productivity Database Productivity Litho Area Breakdown Improvement Analysis Improvement Analysis Implementation Support: Litho Area Logistics System/Track usage Options / Slide 31

32 Litho Area Performance Improvement Productivity example Productivity Bench Mark: ASML s WW WW Productivity Database Productivity Litho Area Breakdown Improvement Analysis Improvement Analysis System output (wafers per day) Volume Foundry PAS5500 scanner productivity performance R&D Implementation Support: Litho Area Logistics System/Track usage Options 0 0 % 10 % 50 % 100 % Installed base population / Slide 32

33 Litho Area Performance Improvement Productivity example Productivity Bench Mark: ASML s WW WW Productivity Database No WIP Product layer switch Track Unsched. Down Effect of single improvement [%] 0% 1% 2% 3% 4% Productivity Litho Area Breakdown Improvement Analysis Improvement Analysis Implementation Support: Litho Area Logistics System/Track usage Options Idle before Qual Idle after Qual Response to alarm Around Reject/Rework Waiting results SAH Lot track-in aborts Other Miscelleneous / Slide 33

34 Effort Option Improvement Applicable to: System Setup (*) L MC IL: REMA delta preparation time 50ms --> 0msec BC1, BC3 0.1% 1 L MC IL: REMA delta preparation time 100ms --> 50msec BD4 0.2% 2 L MC WH: Robot gripper dx. Max. acceleration: 1696->3392 BD4 Dose & Intensity (*) M C PEP 1100 upgrade (release c) BC1, BC3 7.6% 62 Inter Wafer delay L Disable IQC corrections during batch BD4 0.4% 4 L Track STEP 1: Execute TSMC defined track process time reductions All systems 2.0% 17 M C Track STEP 2: Add track ADH unit, and HCP unit for cooling step after PEB BC1 1.8% 15 H C Track STEP 3: Extend current track configuration to remove all bottlenecks All systems 8.4% 68 Alignment Scenario (*) L Disable realignment during batch All systems 0.6% 5 M Calibrate and enable lens heating correction All systems Number of images (*) Reduce the number of images in a layer All systems 3.3% 27 M Short term: carefully review the necessity of images currently used All systems H Long term: migrate to 100% scribe lane mark alignment All systems Batch Operation M Implement RBA, and increase IQC time interval from 20min --> 8 hours BD4 0.7% 5 M Increase average batch size by 50% rework reduction (see Quality Efficiency) All systems 0.5% 4 H Careful review of track actions at layer switching All systems 0.5% 4 Effort Option Improvement Applicable to: L Upgrade to release c for an improved Fast Auto Calibration All systems L MC IL: Periodic ESCF calibration: 6h--> 1h All systems L Change job Focus Edge Clearance setting: 0mm-->3mm Applicable jobs L Execute TSMC defined action plan to reduce dynamic rejects BC1 M Calibrate and enable lens heating correction All systems L Disable IQC system correction during batch BD4 M Implement RBA BD4 L IQC system correction: measurement --> automatic update. BC1, BC3 L IQC system correction time interval: 24 hours --> 8 hours BC1, BC3 Effort Option Improvement Applicable to: M Implement "inline" IRIS inspection (release c) All systems 2.6% 21 L Solve ARMS Robot/Gripper problem BD4 2.0% 16 M Run engineering batches in SECS "remote" mode BC1, BC3 2.0% 17 Effort Option Improvement Applicable to: M C Upgrade or replace laser BD4 1.4% 11 L C Implement Test Streaming (release c) All 1.6% 13 Effort Option Improvement Applicable to: M Implement FCO 4546 (improve HP Electro-Magnetic Compatibility) All systems Litho Area Performance Improvement Productivity example Current 193nm Systems Output [good WPD] : 816 Productivity Bench Mark: ASML s WW WW Productivity Database 47% Rate Efficiency Total Output Improvement = 26.2% 214 Quality Efficiency Total Output Improvement = 4.9% 40 Idle Time Total Output Improvement = 6.5% 53 Productivity Litho Area Breakdown Improvement Analysis Improvement Analysis Implementation Support: Litho Area Logistics System/Track usage Options Scheduled Down Time Total Output Improvement = 2.9% 24 Unscheduled Down Time Total Output Improvement = Combined contributions (speed, time & quality) 3.9% 32 Total Output Improvements : 44.5% 363 Improved 193nm Systems Output [good WPD] : 1179 Output Improvement Improvement % % Simple* Complex* Customer A Customer B (Foundry) 7 21 Customer C (Foundry) Customer D 6 27 Customer E Customer F 3 47 Customer G (Foundry) Average Average 10 10% 3636% / Slide 34

35 Litho Area Performance Improvement Productivity example, value calculation! PAS 5500 system running 1200 WpD! Productivity improvement per system = 10% = 120 WpD! Revenue generated per wafer = $1,500! 25 process layers per wafer (120 wpd)(30 days/month)($1,500 per wafer) 25 layers Total Revenue Boost ~ $216,000/month/system x15 systems/fab = / Slide 35

36 ASML Application Support Creating Customer Value by Providing Customized Solutions / Slide 36

37 ASML Customer Service - Strategy going forward! Provide World Class service to further increase customer satisfaction! Enhance operational efficiency / customer satisfaction by providing innovative service solutions.! ASML services revenues to grow 10 to 20% over the next years.! Achieve steady revenues over Industry cycles. / Slide 37

38 Summary! ASML has a professional Service Organization assisting customers to create value using ASML equipment! By this ASML:! Creates customer intimacy! Distinguishes itself from competition! Lowers barriers to switch from competition to ASML / Slide 38

39 / Slide 39 Commitment

ASML - A strong company on a growth trajectory

ASML - A strong company on a growth trajectory ASML - A strong company on a growth trajectory Franki D Hoore Director European Investor Relations Cheuvreux European IT and Technology Conference Paris, 8 March, 2007 Safe Harbor Safe Harbor Statement

More information

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004 Lehman Brothers T4 2004 Conference San Francisco Craig DeYoung, Vice President Investor Relations December 9, 2004 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation Reform

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Annual General Meeting of Shareholders. 24 March 2010

Annual General Meeting of Shareholders. 24 March 2010 Annual General Meeting of Shareholders 24 March 2010 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include

More information

Bank of America 36 th Annual Investment Conference San Francisco, CA Sept 20, Craig DeYoung VP Investor Relations

Bank of America 36 th Annual Investment Conference San Francisco, CA Sept 20, Craig DeYoung VP Investor Relations Bank of America 36 th Annual Investment Conference San Francisco, CA Sept 20, 2006 Craig DeYoung VP Investor Relations Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation Reform

More information

Peter Wennink Good morning / good afternoon ladies and gentlemen and thank you for joining us for our Q results conference call.

Peter Wennink Good morning / good afternoon ladies and gentlemen and thank you for joining us for our Q results conference call. Strong DUV demand drives solid Q1 results and confirms positive outlook for 2018 Multiple EUV orders, including High-NA, demonstrate further adoption of EUV technology VELDHOVEN, the Netherlands, April

More information

DUV Products and Business Opportunity

DUV Products and Business Opportunity DUV Products and Business Opportunity Ron Kool Executive Vice President Business Line DUV DUV Products and Business Opportunity Key messages Slide 2 DUV immersion system revenues increased over the last

More information

Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets

Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets Forecast of Used Equipment Market Based on Demand & Supply 03. 19.2013 Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets 300 mm 20 nm to 0.13 μm Computing Microprocessors

More information

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

COVENTOR PREDICTING ACTUAL FROM VIRTUAL COVENTOR PREDICTING ACTUAL FROM VIRTUAL Virtual Fabrication Changing the Trajectory of Chip Manufacturing Sandy Wen Semiconductor Process & Integration July 12, 2017 AT A GLANCE MARKET LEADER in 3D modeling

More information

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part II of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part II of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part II of Course Prof. Leachman Fall, 2017 1. For a particular semiconductor product, the customer orders received to date are

More information

Open Innovation and the power of the ecosystem

Open Innovation and the power of the ecosystem Open Innovation and the power of the ecosystem Derek Wallace Vice President Strategic Sourcing & Procurement WIRE2016 9 June 2016 Slide 2 Agenda About ASML Open Innovation: from design to manufacturing

More information

Forecast of Used Equipment Market Based on Demand & Supply

Forecast of Used Equipment Market Based on Demand & Supply Forecast of Used Equipment Market Based on Demand & Supply 2013. 06. 05 Thomas LEE Ⅰ. Market Introduction 300 200 150 _ Wafer Demand by Devices Type and Used Equipment Targets 20 to 0.13 0.13 to 0.5 >

More information

Value Sourcing. Henk Scheepers Senior Vice President Goodsflow Analyst s Day - Veldhoven The Netherlands November 13, 2003.

Value Sourcing. Henk Scheepers Senior Vice President Goodsflow Analyst s Day - Veldhoven The Netherlands November 13, 2003. Value Sourcing Henk Scheepers Senior Vice President Goodsflow Analyst s Day - Veldhoven The Netherlands November 13, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

July 11, Axcelis Technologies, Inc.

July 11, Axcelis Technologies, Inc. July 11, 2017 Axcelis Technologies, Inc. Safe Harbor Statement This presentation and discussion contain forward-looking statements, including our expectations for future revenues, expense reductions, profits,

More information

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC 2018 Strategic Cost and Price Model Scotten W, Jones President - IC Knowledge LLC sjones@icknowledge.com Overview IC Knowledge s flagship cost and price model. The only model of its kind in the industry.

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 1. The thickness of a film deposited on wafers at a particular process step is subject

More information

When can we turn out the lights at Probe?

When can we turn out the lights at Probe? STeP Strategic Test Partners When can we turn out the lights at Probe? Ron Leckie Agenda: Processes People Software Financial Equipment A Pro-Active Step Outlook Semiconductor Manufacturing Mask Mfg.

More information

ASM. AGM presentation 14 May 2009

ASM. AGM presentation 14 May 2009 ASM AGM presentation 14 May 2009 Safe Harbor Statements All matters discussed in this statement, except for any historical data, are forward-looking statements. Forward-looking statements involve risks

More information

Company Strategy, Market Trends and Stakeholder Value

Company Strategy, Market Trends and Stakeholder Value Company Strategy, Market Trends and Stakeholder Value Peter Wennink President and Chief Executive Officer Overview Slide 2 Semi End Markets Lithography Market Healthy semiconductor end market growth fueled

More information

Technology nodes in China

Technology nodes in China Strategies for Emerging Markets Shannon Hart Senior Director, Aftermarket Products March 16, 2011 Requirements of each technology node Building a Fab is expensive, complex and requires experience. Operating

More information

Lithography efficiency a cost comparison model. Sven Grünzig

Lithography efficiency a cost comparison model. Sven Grünzig Lithography efficiency a cost comparison model Sven Grünzig Lithography efficiency a cost comparison model Introduction From the ideal to the real lithography cluster The analysis of the real lithography

More information

Investor Overview November, 2008

Investor Overview November, 2008 Investor Overview November, 2008 Safe Harbor Statement This presentation contains certain information that may constitute forward-looking statements within the meaning of the Private Securities Litigation

More information

Industry Roadmap and Technology Strategy

Industry Roadmap and Technology Strategy Industry Roadmap and Technology Strategy Martin van den Brink President and Chief Technology Officer Overview Slide 2 Industry Innovation Moore s law innovation continues, driven by multiple engines of

More information

Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry

Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry Kirk Hasserjian Corporate Vice President Applied Global Services Applied Materials November 13, 2018 SEMICON Europa 2018 Smart

More information

中國集成元件生產製造設備之開發條件. The Development Requirements of Semiconductor Equipmentfor the China IC Industry. Fusen Chen, John Arima and Shawming Ma

中國集成元件生產製造設備之開發條件. The Development Requirements of Semiconductor Equipmentfor the China IC Industry. Fusen Chen, John Arima and Shawming Ma Semicon China 2016 Build China IC Manufacturing Ecosystem 做大做大做强中国集成中国集成电路产业链 中國集成元件生產製造設備之開發條件 The Development Requirements of Semiconductor Equipmentfor the China IC Industry Fusen Chen, John Arima and

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

ISMI Next Generation Factory (NGF) Roadmap Realization

ISMI Next Generation Factory (NGF) Roadmap Realization ISMI / SEMATECH Symposium Japan 2008 Accelerating Manufacturing Productivity ISMI Next Generation Factory (NGF) Roadmap Realization Joe Draina Director ISMI 30 October 2008 Copyright 2008 SEMATECH, Inc.

More information

wins five stars from customers

wins five stars from customers wins five stars from customers VLSIresearch Customer intelligence Satisfaction to make Survey better 2017 decisions faster Advantest Earns 29 th Consecutive Ranking as a Top IC Test Equipment Supplier

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2016 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597 2007 IEEE International Conference on Robotics and Automation Roma, Italy, 10-14 April 2007 WeE10.4 Jonathan, Chang Yung-Cheng Member, IEEE Institute of Manufacturing Engineering National Cheng Kung University

More information

Closed-Loop Measurement of Equipment Efficiency and Equipment Capacity. Prof. Rob Leachman University of California at Berkeley

Closed-Loop Measurement of Equipment Efficiency and Equipment Capacity. Prof. Rob Leachman University of California at Berkeley Closed-Loop Measurement of Equipment Efficiency and Equipment Capacity Prof. Rob Leachman University of California at Berkeley Introduction Important concept from "TPM" paradigm: Overall Equipment Efficiency

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation November 2015 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

ASML. Digital manufacturing tools support a world leader in a growing market

ASML. Digital manufacturing tools support a world leader in a growing market Electronics and semiconductor Products Tecnomatix, NX, Teamcenter Business challenges Deliver new and innovative machines Shorten machine delivery cycle Adjust production capacity to market conditions,

More information

Customer Satisfaction

Customer Satisfaction Customer Satisfaction CUSTOMER SATISFACTION Is your company getting better every PROGRAM year? Sales and Marketing Products, Roadmaps Operations and Manufacturing Customer Service and Parts How is your

More information

Asset Recovery and Remarketing

Asset Recovery and Remarketing SEC/N AMC International ELA Equipment Management Conference Phoenix, Arizona - February 24, 2004 Speaker: Gary Alexander Asset Recovery and Remarketing The Semiconductor and Electronics Manufacturing Industry

More information

Impact of Advanced Memory Technologies on CMP Industry

Impact of Advanced Memory Technologies on CMP Industry Impact of Advanced Memory Technologies on CMP Industry CMPUG July 11, 2018 Mike Corbett Linx Consulting Inc. Outline Introduction to Linx Consulting Semi Industry Outlook CMP in Advanced Devices Conclusions

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION FACTORY INTEGRATION THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Next Generation Factory Session Opening Remarks

Next Generation Factory Session Opening Remarks Accelerating Manufacturing Productivity Next Generation Factory Session Opening Remarks Sanjay Rajguru Associate Director, ISMI Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Xerox International Partners (XIP), established in 1991 as a joint venture between Fuji Xerox Co. Ltd.

Xerox International Partners (XIP), established in 1991 as a joint venture between Fuji Xerox Co. Ltd. IMPROVED ORDER FILL RATE, DSI AND OPERATIONAL EFFICIENCY FOR DEMAND DRIVEN SUPPLY CHAIN BY UPGRADING AND INTEGRATING MANUGISTICS SCPO AND COLLABORATE SOLUTION TO JDA 7.4.X SUCCESSFULLY Sudam Sahoo, President,

More information

IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing. WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group)

IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing. WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group) IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group) Table of Contents - Company Information - Industry Revolution and Status

More information

Adept Technology Investor Presentation March 2015

Adept Technology Investor Presentation March 2015 Adept Technology Investor Presentation March 2015 Safe Harbor This presentation includes forward-looking statements, including statements about the economic environment affecting us and the markets we

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation March 2015 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION FACTORY INTEGRATION FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION FACTORY INTEGRATION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION FACTORY INTEGRATION TABLE OF CONTENTS Scope... 1 Difficult Challenges... 2 Complexity Management... 3 Factory Optimization... 3 Extendibility,

More information

Global and China Semiconductor Equipment Industry Report, June 2015

Global and China Semiconductor Equipment Industry Report, June 2015 Global and China Semiconductor Equipment Industry Report, 2014-2015 June 2015 STUDY GOAL AND OBJECTIVES This report provides the industry executives with strategically significant competitor information,

More information

for higher reliability by lower costs

for higher reliability by lower costs Service Strategies for higher reliability by lower costs Joerg Recklies Director Engineering Infineon Dresden GmbH Content Todays Challenges Existing Strategies Reliability Centered Optimization / Review

More information

Operational Availability

Operational Availability White paper Operational Availability By Angelika Cortazzo EOS GmbH, Germany The definition and position of Uptime as a Service Feature Executive summary The role of EOS is to ensure system availability.

More information

Semiconductor Packaging and Assembly 2002 Review and Outlook

Semiconductor Packaging and Assembly 2002 Review and Outlook Gartner Dataquest Alert Semiconductor Packaging and Assembly 2002 Review and Outlook During 2002, the industry continued slow growth in unit volumes after bottoming out in September 2001. After a hearty

More information

The Business of Continuous Delivery

The Business of Continuous Delivery The Business of Continuous Delivery Kurt Bittner Principal Analyst @forrester @ksbittner 10 The Business of Continuous Delivery Kurt Bittner Principal Analyst @ksbittner 2014 Forrester Research, Inc. Reproduction

More information

Innovative Gauging. Best Practice Best Value. In-line Non-laser Non-contact. Robust. 2D/3D. Flexible. Reliable. Exact.

Innovative Gauging. Best Practice Best Value. In-line Non-laser Non-contact. Robust. 2D/3D. Flexible. Reliable. Exact. Innovative Gauging Best Practice Best Value Robust. 2D/3D. Flexible. Reliable. Exact. In-line Non-laser Non-contact 3D Quality In-line Gauging Precise - fast - robust - flexible Modern production processes

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 MANUFACTURING SYSTEM Manufacturing, a branch of industry, is the application of tools and processes for the transformation of raw materials into finished products. The manufacturing

More information

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013 The Role of Wafer Foundries in Next Generation Packaging David McCann, VP Packaging R+D May 28, 2013 Page 1 Solutions are Increasingly Silicon-Based RF moves from QFN to wlcsp Driven by footprint and cost

More information

Investor Presentation July Creating Manufacturing Efficiency

Investor Presentation July Creating Manufacturing Efficiency Investor Presentation July 2005 Creating Manufacturing Efficiency Forward-Looking Statements/ Risk Factors Cautionary Statement Concerning Forward-Looking Statements. Statements in this presentation regarding

More information

Automating the In-Circuit Test in Your Factory

Automating the In-Circuit Test in Your Factory Automating the In-Circuit Test in Your Factory Measurement Test Division Keysight Technologies July 23, 2015 Agenda Page 2 Overview of Inline ICT automation - Introduction to Keysight & In Circuit Test

More information

N E W. Inline PCB inspection system VT-S VT S500series

N E W. Inline PCB inspection system VT-S VT S500series N E W Inline PCB inspection system VT-S500-02 VT S500series Market environment Various needs surrounding the surface mount industry Globalized issues in the surface mounting industry Demands from the market

More information

Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology.

Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology. Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology. 02 Simple logic Linde in semiconductor manufacturing Simple logic Linde in semiconductor manufacturing 03

More information

- The Service Consultants -

- The Service Consultants - Speech by Matthias Mahnel, Managing Director of, on the occasion of PACKAGING VALLEY DAYS 2013, 10th of April, 2013 - - Kirchplatz 5a 82049 Pullach Tel: 089-388899-30; Fax: 089-388899-31 Internet: Impuls-consulting.de

More information

Hexagon Metrology 360 SIMS

Hexagon Metrology 360 SIMS product brochure Hexagon Metrology 360 SIMS Smart Inline Measurement Solutions fast, rich and actionable process control Hexagon Metrology 360 SIMS. Smart Inline Measurement Solutions. Hexagon Metrology

More information

Thanks, Bill. Good morning, everyone, and thanks for joining us.

Thanks, Bill. Good morning, everyone, and thanks for joining us. Cabot Microelectronics Corporation 2007 Third Fiscal Quarter Earnings Report July 26, 2007 Good morning. This is Bill Johnson, Vice President and Chief Financial Officer for Cabot Microelectronics Corporation.

More information

Noise Figure Analyzers

Noise Figure Analyzers The new standard for today s fast-paced measurement environments Agilent Noise Figure Analyzers NFA Series The new standard for noise figure measurement If you design or manufacture subsystems or components

More information

Linx Consulting, Inc.

Linx Consulting, Inc. STRATEGIC COST MODEL A Collaborative Development between Linx Consulting and IC Knowledge Background Based on real world product process flows and device roadmaps, with modifications for the latest process

More information

csi PRODUCTION SOLUTIONS csi PRODUCTION SOLUTIONS FOR CRYSTALLINE SILICON SOLAR CELLS

csi PRODUCTION SOLUTIONS csi PRODUCTION SOLUTIONS FOR CRYSTALLINE SILICON SOLAR CELLS csi PRODUCTION csi PRODUCTION FOR CRYSTALLINE SILICON SOLAR CELLS 3 PASSION FOR EFFICIENCY PHOTOVOLTAICS CONTENT Process machines and automation systems by Manz offer many advantages for customers in the

More information

LIFE CYCLE AND COST ANALYSIS FOR MODULAR RE-CONFIGURABLE FINAL ASSEMBLY SYSTEMS

LIFE CYCLE AND COST ANALYSIS FOR MODULAR RE-CONFIGURABLE FINAL ASSEMBLY SYSTEMS LIFE CYCLE AND COST ANALYSIS FOR MODULAR RE-CONFIGURABLE FINAL ASSEMBLY SYSTEMS Juhani Heilala, Kaj Helin, Jari Montonen, Otso Vaatainen VTT Industrial Systems, P.O.Box 1702, FIN-02044 VTT, Finland Abstract:

More information

Dave Jarzynka. Brooks Semiconductor Solutions Group

Dave Jarzynka. Brooks Semiconductor Solutions Group Dave Jarzynka Brooks Semiconductor Solutions Group 1 Agenda The business today Growth drivers 2 2016 Brooks Automation, Inc. Proprietary Information Semiconductor Group at a Glance FY15 Revenue Breakdown

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

CapitalBio LuxScan TM. HT24 High Throughput Microarray Scanner. Exploit the full capacity of your lab

CapitalBio LuxScan TM. HT24 High Throughput Microarray Scanner. Exploit the full capacity of your lab CapitalBio LuxScan TM HT24 High Throughput Microarray Scanner Exploit the full capacity of your lab Introduction CapitalBio LuxScan HT24 is our latest high throughput microarray scanner in the LuxScan

More information

Press Conference on Financial Status

Press Conference on Financial Status Dr. Wolfgang Ziebart President and CEO Infineon Technologies AG - the spoken word prevails - Dear Ladies and Gentlemen, welcome to the financial year 2005 press conference of Infineon Technologies AG.

More information

JOB CATALOGUE NETHERLANDS 2013

JOB CATALOGUE NETHERLANDS 2013 JOB CATALOGUE NETHERLANDS 2013 We are happy to present you our job catalogue, with the current vacancies Grecruitment has to offer you in The Netherlands. Thank you for your interest, and we hope you will

More information

Smart Manufacturing The Digital Transformation Journey Jörg Richstein PhD. Sr. Director Engineering Services

Smart Manufacturing The Digital Transformation Journey Jörg Richstein PhD. Sr. Director Engineering Services Smart Manufacturing The Digital Transformation Journey Jörg Richstein PhD Sr. Director Engineering Services Smart Manufacturing - Drivers for a Digital Thread Build-to-demand beats build-to-inventory.

More information

Agilent Professional Services for the Agilent OpenLAB Software Suite IMPLEMENT YOUR OPENLAB SOLUTION FASTER AND MORE EFFECTIVELY

Agilent Professional Services for the Agilent OpenLAB Software Suite IMPLEMENT YOUR OPENLAB SOLUTION FASTER AND MORE EFFECTIVELY Agilent Professional Services for the Agilent OpenLAB Software Suite IMPLEMENT YOUR OPENLAB SOLUTION FASTER AND MORE EFFECTIVELY Agilent Professional Services for the OpenLAB Software Suite MAXIMIZE RETURN

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation August 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation May 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Solumina MRO: Maintenance Execution. June 21, 2017

Solumina MRO: Maintenance Execution. June 21, 2017 Solumina MRO: Maintenance Execution June 21, 2017 1 Agenda 1 What is the problem we Solve? Typical Maintenance Landscape 2 What is Solumina MRO? 3 Solumina MRO Overview & Demo Maintenance Process Planning

More information

Opening Investor Day

Opening Investor Day Public Public Opening Investor Day Skip Miller Vice President Investor Relations Agenda Event Overview Public Slide 3 8 November 2018 13:00-17:00 Presentations, Auditorium 17:00-18:30 Tour, Experience

More information

Utilization vs. Throughput: Bottleneck Detection in AGV Systems

Utilization vs. Throughput: Bottleneck Detection in AGV Systems Utilization vs. Throughput: Bottleneck Detection in AGV Systems Christoph Roser Masaru Nakano Minoru Tanaka Toyota Central Research and Development Laboratories Nagakute, Aichi 480-1192, JAPAN ABSTRACT

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Atmospheric Transfer Robotics. Cutting-edge robotic solutions for today s advanced semiconductor manufacturers

Atmospheric Transfer Robotics. Cutting-edge robotic solutions for today s advanced semiconductor manufacturers Atmospheric Transfer Robotics Cutting-edge robotic solutions for today s advanced semiconductor manufacturers Razor provides the superior performance, repeatability, and ultra-cleanliness that today s

More information

Silicon Image CASE STUDY. »»Quick and easy deployment across all

Silicon Image CASE STUDY. »»Quick and easy deployment across all CASE STUDY In Brief Industry»»Engineering Challenge»»Enable inter-office collaboration in real-time»»accelerate application performance»»eliminate network congestion due to increased CIFS and NFS traffic»»overcome

More information

ASML Holding N.V. Integrated Report 2016

ASML Holding N.V. Integrated Report 2016 ASML Holding N.V. Integrated Report 2016 This ASML integrated report combines information about our financial and non-financial performance, aiming to provide our stakeholders with a holistic view of how

More information

Energy and Utility Savings With Vacuum and Abatement Systems. Mike Percy, Edwards Ltd.

Energy and Utility Savings With Vacuum and Abatement Systems. Mike Percy, Edwards Ltd. Energy and Utility Savings With Vacuum and Abatement Systems Mike Percy, Edwards Ltd. Contents Backdrop Technology Trends Product improvement examples Dry pumps Abatement Example foundry model Fab level

More information

Canon Inc. First Quarter 2018 Analyst Meeting Wednesday, April 25, 2018

Canon Inc. First Quarter 2018 Analyst Meeting Wednesday, April 25, 2018 Canon Inc. First Quarter 2018 Analyst Meeting Wednesday, April 25, 2018 Speech Summary (English Translation) Toshizo Tanaka Executive Vice President & CFO This document contains forward-looking statements

More information

OPTIMIZATION OF AMHS DESIGN FOR A SEMICONDUCTOR FOUNDRY FAB BY USING SIMULATION MODELING. Jacky Tung Tina Sheen Merlin Kao C.H.

OPTIMIZATION OF AMHS DESIGN FOR A SEMICONDUCTOR FOUNDRY FAB BY USING SIMULATION MODELING. Jacky Tung Tina Sheen Merlin Kao C.H. Proceedings of the 2013 Winter Simulation Conference R. Pasupathy, S. H. Kim, A. Tolk, R. Hill, and M. E. Kuhl, eds OPTIMIZATION OF AMHS DESIGN FOR A SEMICONDUCTOR FOUNDRY FAB BY USING SIMULATION MODELING

More information

Optimized for Growth:

Optimized for Growth: The Fifth Annual Change in the (Supply) Chain Survey REGIONAL SUMMARY: LATIN AMERICA Optimized for Growth: High-Tech Executives Adapt to Meet Global Demands INTRODUCTION Optimized for Growth: High-Tech

More information

IR UPDATE Nomura Investment Forum 2011

IR UPDATE Nomura Investment Forum 2011 IR UPDATE Nomura Investment Forum 2011 November 30 th, 2011 Nobu Koshiba, President (4185 JP) 1. Key Essence of JSR 20i3 2. Results & Forecast of 3. Progresses of JSR 20i3 4. For the mid-term targets The

More information

Aluminium & Non-Ferrous Production Optimization Fast, Reliable, Efficient

Aluminium & Non-Ferrous Production Optimization Fast, Reliable, Efficient Optical Surface Inspection for Aluminium & Non-Ferrous Production Optimization Fast, Reliable, Efficient Leading the Way in Aluminium & Non-Ferrous Process Improvement BEYOND INSPECTION MORE Than Just

More information

Whole salmon grading and distribution system

Whole salmon grading and distribution system Whole salmon grading and distribution system Seamless product flow Improves performance Controls logistics Shortens processing time Full traceability Whole salmon grading & distribution system Building

More information

Automation for a Changing World

Automation for a Changing World Delta Industrial Ethernet Switches Automated Guided Vehicle Solution Automated Guided Vehicle Solution The advanced automation, safety, and flexibility of Automated Guided Vehicles (AGV) make them key

More information

Standardized Traceability Ratings for Manufacturing

Standardized Traceability Ratings for Manufacturing Standardized Traceability Ratings for Manufacturing Robert Miklosey Aegis Software Horsham, PA Abstract Traceability and process control are no longer requirements reserved for manufacturers in regulatory

More information

Sustainable relationship with suppliers

Sustainable relationship with suppliers Sustainable relationship with Value sourcing and virtual integration Our relationship with is guided by our value sourcing strategy, which focuses on creating and maintaining very close cooperation with.

More information

Lam Research Corporation

Lam Research Corporation NASDAQ OMX 26 th Investor Program Lam Research Corporation Ernie Maddock Senior Vice President and Chief Financial Officer June 21, 2011 Lam Research Corporation June 21, 2011 Slide - 1 Forward-Looking

More information

RELIABILITY, AVAILABILITY AND MAINTAINABILITY CONCEPTS

RELIABILITY, AVAILABILITY AND MAINTAINABILITY CONCEPTS UNIT 9 RELIABILITY, AVAILABILITY AND MAINTAINABILITY CONCEPTS Total Quality and Maintenance Objectives After studying this unit, you should be able to: understand the concept of reliability, availability

More information

The International Technology Roadmap for Semiconductors (ESH THRUST)

The International Technology Roadmap for Semiconductors (ESH THRUST) The International Technology Roadmap for Semiconductors (ESH THRUST) 2000 Jim Jewett Intel Corporation The ITRS is a document which identifies technology needs and possible solutions From NTRS to ITRS

More information

TECHNOLOGY ROADMAP 2005 EDITION FACTORY INTEGRATION FOR

TECHNOLOGY ROADMAP 2005 EDITION FACTORY INTEGRATION FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION FACTORY INTEGRATION THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Custom Linear Motor Stages for High Precision Applications

Custom Linear Motor Stages for High Precision Applications Custom Linear Motor Stages for High Precision Applications High Quality and Production Solutions for OEM s aerospace climate control electromechanical filtration fluid & gas handling hydraulics pneumatics

More information

MonTech RP 3000 Rapid Plastimeter for Polymers and Natural Rubber

MonTech RP 3000 Rapid Plastimeter for Polymers and Natural Rubber Plasticity 02 MonTech RP 3000 Rapid Plastimeter for Polymers and Natural Rubber The MonTech Rapid Plastimeter measures the plasticity or viscosity of polymers and unvulcanised rubbers. The test is simple,

More information

Spectrum S-920N Series

Spectrum S-920N Series Spectrum S-920N Series Scalable solutions for high-volume manufacturing and assembly Features and Benefits The S-920N series leverages over 25 years of Nordson ASYMTEK automated fluid dispensing and jetting

More information

MANUFACTURING INNOVATION AT DOW CORNING:

MANUFACTURING INNOVATION AT DOW CORNING: MANUFACTURING INNOVATION AT DOW CORNING: A JOURNEY WITH SAP MII Brett Hoffman Manufacturing Application Development Manager MII Technology Steward Agenda Dow Corning: A Brief Introduction Our Challenges

More information

Canon Inc. FY 2018 Analyst Meeting Wednesday, January 30, 2019

Canon Inc. FY 2018 Analyst Meeting Wednesday, January 30, 2019 Canon Inc. FY 2018 Analyst Meeting Wednesday, January 30, 2019 Speech Summary (English Translation) Toshizo Tanaka Executive Vice President & CFO This document contains forward-looking statements with

More information