Shedding Light on Critical Materials Down Turn? Up Turn? Which way now? By Lita Shon-Roy TECHCET Group, LLC.

Size: px
Start display at page:

Download "Shedding Light on Critical Materials Down Turn? Up Turn? Which way now? By Lita Shon-Roy TECHCET Group, LLC."

Transcription

1 Shedding Light on Critical Materials Down Turn? Up Turn? Which way now? By Lita Shon-Roy TECHCET Group, LLC.

2 Materials Market Outline 2009 Summary Techcet s MegaTrends Materials Overview Supply Chain Dynamics Polysilicon Front End Process Materials Wrap Up Take Aways 2

3 Techcet 2009 Year-End Summary 2009 a Very Dark and Scary Year: Materials markets fell (as predicted) -20% on average no full bounce back in was even worse for equipment consumables, Indirect Materials, ~ - 32% Bulk gases are always least impacted, - 4% to -5% 450 mm becoming a reality despite naysayers Silicon availability no longer a problem 3

4 Techcet MegaTrends The Future Definitely Looks Brighter Green is In Recycle and/or Re-use Metals and silica Growing market segments still linked to copper interconnect and advanced FE materials Chip fabs still desire lower cost materials Specs are being dumbed down Ship to Control Requirements Relaxed 4

5 MegaTrends to Watch Asian companies targeting the OEM and materials business look for acceleration in this area The REAL Growth will be From China - Consumer Spending will give boost to electronics markets will drive growth of trailing edge devices and devices which support handheld applications Cost of Earth, Wind, and Fire Fluctuating Metals markets will continue to be constrained. 5

6 Materials Overview Down-Up Dynamics 2009 Pie Size ~ $36B down 20% ~ 15% growth expected for DM* ~ $10B down 14%, ~ 14% growth for IM ~ $1B down 32% ~ 31% growth for 2010 Packaging 47% Indirect Materials 3% Direct Materials 28% Silicon goes from short supply to over supply as we predicted. Prices have fallen, with falling demand, and will remain low thru 2010 upturn. Silicon 22% * Not including Silicon 6

7 Supply Constraints/ Drivers Other Markets Demanding Same Materials Materials Business Growth/ Profitability Market Drivers Portable Applications Driving Need for Smaller Geometry IC s Cloud Computing Driving Demand for Simpler Technologies Raw Material Production Flexibility Si/ polysi Wafer Prices 7

8 Silica Worldwide Use Hot growth areas: green tires (US & Europe) reinforced silicone-rubber in shoes (Asia) toothpaste (China) >5% CAGR electronics (CMP WW) cosmetics (WW) Rise in Chinese Economy Boosts Toothpaste Market Silica Use by Type Alkoxysilane 3% colloidal 66% fumed 31% Silica Use by Applications Coatings 8% Electronics 10% Investment Castings 17% Other 9% Health 20% Consumption Consumption Rubber 36% Source: USGS ~12 M metric tons in

9 Targets - Tantalum Supply and Demand Poor demand impacting Ta market Main Ta Mine Moth-balled 2009 Ta Target Revenues ~ $70M will soar to >$140M in 2010 due to price escalation December Techcet Group, 2009 LLC Ta est comments Supply Australia Mines shutdown Africa Humanitarian issues Brazil China SE Asia Consumption Canada Others Recycled Stockpiles Total Supply Demand Shortfall

10 Polysilicon 7 major suppliers serving this market; many of them have doubled capacity and existing plans to continue adding capacity through 2013; plans may change There >12 new potential players, some will back out If they all produce what they announce, the capacity could be 5X or greater for 2011 over 2006 Techcet s Third Law for Electronic Materials: For every action, there is an equal and opposite over-reaction 10

11 Polysilicon Annual Capacity (MT/Yr) 450, , , , , , , ,000 50,000 0 Established Suppliers Price Fluctuation New Entrants % 450% 400% 350% 300% 250% 200% 150% 100% Source: SEMI and Techcet 11 50% 0%

12 Front End Process Materials* Revenues (M$'s) $14,000 $12,000 $10,000 $8,000 $6,000 $4,000 $2,000 $0 Indirects Ancillaries Photoresist Masks Gases CMP Ceramics Silicon Carbide Quartz Graphite Wet Chemicals Targets Ancillaries Photoresist Masks Gases CMP Electroplating Adv.Dielec. and SOGs * Without Silicon 12

13 Worldwide Estimated Photoresist Market Size (in US$) 1800 Annual Revenue in $M Cost Per Puddle Puddle size Puddles Per Wafer 13

14 Gases & Wet Chemicals Revenues ($M's) $4,000 $3,500 $3,000 $2,500 $2,000 $1,500 $1,000 $500 $0 Specialty Gases Ambient Gases Wet Chemicals Consumption Specialty Products and Smaller Quantities Relegated Source: USGSto Smaller Companies and Local Suppliers Larger Companies Primarily Want to Deal in Bulk Volumes and Cater to Asia 14

15 Indirect Materials Hit Hard Quartz and SiC Markets SiC and Quartz ($Ms) Both SiC and Si continue to gain relative market shares Graphite losing ground based on dirty perception Revenues ($M's USD) $1,000 $900 $800 $700 $600 $500 $400 $300 $200 $100 $0 SiC Quartz Fabrication Silicon and SiC have such a better CTE, and cleaner reputation, they are hard to beat 40% 35% 30% 25% 20% 15% 10% 5% 0% SiC as a Percent of Total 15

16 New Technologies and Potential Growth Opportunities Pre nm Two Al Metal layers, BPSG CourtesyProf. Ken Cadien, Ph.D. University of Alberta 250 nm Five Al metal layers, SiOF Mid-1990 s 130 nm Six Cu Layer Mid-2000 s 65 nm Eight Cu Layer TODAY >12 layers of metal, 65nm STI CMP Hi K Growth 16

17 New Technologies and Potential Growth Opportunities FE & BE The adoption of copper continues to drive new material opportunities and good market growth Evolution of to lower and lower k, including airgap with sacrificial materials Cu capping not yet optimum, more changes coming Cu resistivity in small traces is still too high Ru rather than W filled contacts & vias? Ta/TaN to Ru or Reversion to Ti or?? Barrier Metal More devices using copper, transition from Al High k Gate Dielectric + Metal Gate Electrode Significant growth starting in 2010 to

18 CMP Materials Big Ten > 16 Slurry Suppliers Price Competition, Cu & Barrier and now STI Cu and Barrier not one size fits all Ceria ( direct ) STI growing new pricing competition? New Pad Supplier Entrants Gaining a Foothold Numerous Pad Conditioner Suppliers with unique designs PCMP: Cu still a growth market, W niches below 45nm, S-STI opportunities Consumption Source: USGS PVA brushes & Retaining rings new suppliers, new designs, new materials 18

19 CMP Slurry & Pad Materials Market 2,000 1,800 Cu Barrier Cu Step 1 Slurry & Pad Annual Revenue (M$USD) 1,600 1,400 1,200 1, Consumption Tungsten Oxide S-STI December

20 December 2009 Gate Dielectric and Metal High k Gate Dielectric + Metal Gate Electrode Significant growth expected for gate Precursors Growing from <$5M in 2009 to ~$90M in 2015 Intel gate structures involve Al fill + CMP Precursors Replacement Metal Gate Process PMOS Consumption Pictures from Dec 07 IEDM Source: USGS Germane and Strain Precursors $35M in 2009 growing to $50M in 2012 (PV market +25%) 20

21 Capacitor Dielectric and Electrode Precursors Revenues ($M) $140 $120 $100 $80 $60 $40 $20 Hf/Zr Precursors for Capac Ox (70nm-> 22nm) Metal Electrode/Barrier TMA (90nm & 70nm) $ Consumption Market Currently holding at $60M but expected Source: USGS to grow ~$140M by 2015 December

22 Supply Chain Cross-Links PV Materials Markets drive for lower cost will impact ASPs of Like SC Materials Already happening in TFT area - Gases Meters of Solar Cell Substrates Thin Film CAGR of 20%+ expected over 5 yrs Millions of m CIGS/CIS CdTe a-si/mx-si c-si

23 PV Materials Cost Trends $1.80 Cost per Watt ($/Wp) $1.60 $1.40 $1.20 $1.00 $0.80 $0.60 $0.40 $0.20 Material Costs Dropping ~10% per year (5 year CAGR) Leveling off around 2013 $

24 Take Aways A Brighter Future Growth areas in SC Materials December 2009 December 2009 Litho 193 nm ArF resists Interconnect Materials (CMP, dielectrics, metals) > $2B Front End Materials (dielectrics, metals) <$200M by 2015 Key Growth Drivers China Consumers 1.3B population Trailing edge and Handheld markets Green Applications Control Materials Markets Not just compete head on technology or price Track, anticipate changes in supply chain www techcet com 24 24

25 Strategic Planning and Business Development Consulting Specialized in Electronic Materials Supply Chain Business & Technical Trends Techcet Critical Material Reports* : Solar Cell Process Materials Solar Cell Equipment Consumables Polysilicon Market and Supply Chain Ceramics High K/ALD Precursors Interconnect Materials Beyond 65nm Ion Implant Sources Liquid Dopants Low Temperature Dielectric Precursors Advanced Interconnect Dielectrics December Advanced 2009 Interconnect Metallization CMP Consumables Gases Graphite Masks and Reticles Photoresists and Photoresist Ancillaries Quartz Silicon Carbide Sputter Targets Wet Chemicals * Several Critical Materials Reports are commission by International Sematech

26 Please visit our website Take part in our Magic 8-ball giveaway Lita December 2009 December

27 Acknowledgements SEMI - Dan Tracy, Ph.D. and Lara Chamness TechSearch International - Jan Vardaman VLSI Research Risto Puhakka December 2009 December

28 Acknowledgements Techcet Partners and Associates John Housley Partner Karey Holland, Ph.D. Partner Steve Holland, Ph.D. Partner Mike Fury, Ph.D. Sr. Associate Technologist Allan Wiesnoski Sr. Associate Market Analyst Jiro Hanaue Associate Market Analyst Maggie Lee Associate Market Analyst December