Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems

Size: px
Start display at page:

Download "Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems"

Transcription

1 Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems

2

3 Younghyun Kim Naehyuck Chang Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems 123

4 Younghyun Kim Purdue University West Lafayette, IN, USA Naehyuck Chang Seoul, Korea Republic of (South Korea) ISBN ISBN (ebook) DOI / Springer Cham Heidelberg New York Dordrecht London Library of Congress Control Number: Springer International Publishing Switzerland 2014 This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed. Exempted from this legal reservation are brief excerpts in connection with reviews or scholarly analysis or material supplied specifically for the purpose of being entered and executed on a computer system, for exclusive use by the purchaser of the work. Duplication of this publication or parts thereof is permitted only under the provisions of the Copyright Law of the Publisher s location, in its current version, and permission for use must always be obtained from Springer. Permissions for use may be obtained through RightsLink at the Copyright Clearance Center. Violations are liable to prosecution under the respective Copyright Law. The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. While the advice and information in this book are believed to be true and accurate at the date of publication, neither the authors nor the editors nor the publisher can accept any legal responsibility for any errors or omissions that may be made. The publisher makes no warranty, express or implied, with respect to the material contained herein. Printed on acid-free paper Springer is part of Springer Science+Business Media (

5 This book is dedicated to my beloved wife Jungsun, for her love and trust; and my parents, for their devotion and support. Younghyun Kim

6

7 Preface Electrical energy storage (EES) systems provide various benefits of high energy efficiency, high reliability, low cost, and so on, by storing and retrieving energy on demand. EES systems have a wide range of applications, such as contingency service and peak shaving for power grid, energy buffer for renewable power sources, power train in electric vehicles (EVs), and so on. Current EES systems mainly rely on a single type of energy storage technology, but unfortunately no single type of EES element technology can fulfill all the desirable characteristics, such as high power/energy density, low cost, high cycle efficiency, and long cycle life. Hybrid EES (HEES) systems, on the other hand, are composed of multiple, heterogeneous EES element technologies, aiming at exploiting the strengths of each technology while hiding its weaknesses. This is a practical approach to improve the performance of EES systems with currently available EES element technologies. A HEES system may achieve a combination of performance metrics that is superior to those for any of its individual energy storage elements with elaborated system design and control schemes. This book introduces high-level design and management techniques that maximize the energy efficiency of HEES systems. We propose new architectures for HEES systems and systematic design optimization methods. The proposed networked charge transfer interconnect (CTI) architecture and bank reconfiguration architecture minimize power conversion loss and thus maximize the charge transfer efficiency in HEES systems. We also point out the limitations of conventional control schemes and propose a joint optimization design and control technique that simultaneously considers power sources. The proposed maximum power transfer tracking (MPTT) operation and MPTT-aware design method effectively increases energy harvesting efficiency and actual available energy. We finally introduce a prototype of a HEES system implementation that physically proves the feasibility of the proposed HEES system. The content of this book describes work that had been carried out during Dr. Younghyun Kim s Ph.D. study in the CAD-X Lab at Seoul National University, vii

8 viii Preface led by Prof. Naehyuck Chang. Various chapters or sections of this book are based on scientific papers published in various conference proceedings and journals. We would like to acknowledge the work of the co-authors of those papers. Especially, large parts of the work have been conducted in collaboration with SPORT Lab at University of Southern California, led by Prof. Massoud Pedram. This research was sponsored by the National Research Foundation of Korea (NRF) grant funded by the Korean Government (MEST) (No ). The Institute of Computer Technology at Seoul National University provided research facilities for this study. West Lafayette, USA Seoul, South Korea Younghyun Kim Naehyuck Chang

9 Contents 1 Introduction... 1 References Background and Related Work Electrical Energy Storage Elements Previous Electrical Energy Storage Systems System Architectures Applications of EES Systems References Hybrid Electrical Energy Storage Systems Design Design Considerations of HESS Systems HESS System Architecture Charge Transfer and Charge Management HESS System Components Nodes Charge Transfer Interconnect System Control and Communication Network References Architectures for Energy Efficiency Modeling Power Conversion Efficiency Reconfigurable EES Array Architecture Cycle Efficiency and Capacity Utilization of EES Bank General Bank Reconfiguration Architecture Dynamic Reconfiguration Algorithm Cycle Efficiency and Capacity Utilization Improvement Networked Charge Transfer Interconnect Networked Charge Transfer Interconnect Architecture Conventional Routing Problems ix

10 x Contents Routing Problems Networked Charge Transfer Interconnect Routing Experiments Experimental Setup Experimental Results References Joint Optimization with Power Sources Maximum Power Transfer Tracking Modeling a PV Module Maximum Power Transfer Point MPTT-Aware Energy Harvesting System Design Photovoltaic Emulation for MPTT Model Parameter Extraction Dual-Mode Power Regulator with Power Hybridization References Implementation and Application EV Application Regenerative Brake PV Modules EES Bank Reconfiguration and Networked CTI Overall Improvement and Cost Analysis HESS Prototype Implementation Design Specifications Implementation Control Method References Conclusions and Future Directions

11 Acronyms AC CPU CRM CSR CTI DC DoD DRAM EDA EES EV FPGA GBRA HDD HEES HEV MOSFET MPP MPPT MPT MPTT NiCd NiMH NoC PCB PID PV PWM RMS Alternating current Central processing unit Current regulation mode Current source region Charge transfer interconnect Direct current Depth of discharge Dynamic random access memory Electronic design automation Electrical energy storages Electrical vehicle Field-programmable gate array General balanced reconfiguration architecture Hard disk drive Hybrid electrical energy storages Hybrid electrical vehicle Metal-oxide-semiconductor field-effect transistor Maximum power point Maximum power point tracking Maximum power transfer Maximum power transfer tracking Nickel-cadmium Nickel-metal hydride Network-on-chip Printed circuit board Proportional-integral-derivative Photovoltaic Pulse width modulation Root mean square xi

12 xii Acronyms SoC SoH SRAM VLSI VRM VSR PP State of charge State of health Static random access memory Very-large-scale integration Voltage regulation mode Voltage source region Payback period