New proposal of the environmental friendly abatement system for LED MOCVD process

Size: px
Start display at page:

Download "New proposal of the environmental friendly abatement system for LED MOCVD process"

Transcription

1 CNF-1927 New proposal of the environmental friendly abatement system for LED MOCVD process March 2012 Tsutomu Tsukada Kanken Techno co., Ltd. 1

2 Agenda 1. Process gases for CVD and Dry Etch application 2. Abatement methods for process gas and byproduct 3. Advantage of Electric Heating Abatement System 4. Abatement systems for GaN MOCVD 2

3 Material Balance CVD and Dry Etching Systems Dilution N 2 Exhaust Water etc. Byproducts Air etc. Process Tools CVD, Etching Pump Abatement System Process gases Process Gases Water On Wafer Wall of tools Solid byproducts Water soluble chemicals 3

4 Reaction in the CVD Systems Deposition SiO 2 deposition Si(OC 2 H 5 ) 4 SiO 2 + O(C 2 H 5 ) 2 Si(OC 2 H 5 ) 4 + O 3 SiO 2 + CO 2 + H 2 O + etc SiH 4 + N 2 O SiO 2 + H 2 + N 2 SiN deposition SiH 4 + NH 3 Si 3 N 4 + H 2 SiOC deposition (CH 3 ) 3 SiH + H 2 O CH 3 SiO x + C n H m + etc Metal deposition M(C x H y O z ) n + H 2 M + C n H m +etc 4

5 Reaction in the CVD Systems Cleaning C 2 F 6 (PFC) plasma cleaning C 2 F 6 + SiO 2 SiF 4 + CO 2 NF 3 remote plasma cleaning NF 3 F 2 + N 2 F 2 + SiO 2 SiF 4 + O 2 SF 6 plasma cleaning SF 6 + SiO 2 SiF 4 + SOF 2 + SF 4 +etc ClF 3 gas cleaning ClF 3 F 2 + Cl 2 F 2 + SiO 2 SiF 4 + O 2 HCl gas cleaning (Need temperature) HCl + Si SiH 4 + Cl 2 5

6 Byproducts formation during Dry Etching Si Si + CF 4 + O 2 SiF 4 + CO 2 Si + Cl 2 SiCl 4 Si + Br 2 SiBr 4 Dopant P + Cl 2 PCl 3 As + Cl 2 AsCl 3 SiO 2 SiO 2 + CHF 3 SiF 4 + CO 2 + HF Al Al + Cl 2 AlCl 3 Photo resist C n H m + Cl 2 C x H y Cl + HCl 6

7 Necessity of abatement system for process tools Toxic gases are produced as byproducts during process. Then, the exhausted gases should be introduced into the abatement system, and are converted to safety gases. Air pollution gases such as ODS, Photochemical smog and global warming gases should be made harmless through the abatement system. Abatement system must be treated process gases and byproducts. If the substance exhausted from the dry pump is low vapor pressure or react with water to produce solid byproduct, the pipe between the pump and the abatement system must be heating, or the exhausted gas will be diluted by sufficient nitrogen. If there is no flame arrester system, inflammable gases should be diluted to less than LEL. 7

8 Methods of the abatement Combustion Combustion with fuel gases and combustion air Electric heated oxidation Oxidized or decomposed in the electric heated reactor Catalysis Oxidized or reduced by catalysis Adsorption (Dry bed) Adsorbed chemically or physically on the adsorbents Plasma abatement Oxidized or decomposed using plasma Water scrubber Scrubbing by water Hydrolyzed 8

9 Company Profile Company Name: Kanken Techno Co., Ltd. Established: 1978 Headquarter: Kyoto, Japan President: Hiroshi Imamura (Founder&Engineer) Number of Employee: 450 Product: Abatement systems, Factory scrubber, Deodorizing system(voc abatement), etc. *Specialist of Abatement Admission Group: The Energy Conservation Center, Japan Semiconductor Equipment Association of Japan 9

10 Share in Japan( ) Ref:Gas Reviw Total Market 16 Billion Yen 21 Billion Yen 22 Billion Yen Combustion (a dozen companies) 55.0% 40.0% 33.0% Kanken Techno 17.5% 22.2% 30% Showa Denko 6.5% Japan Pionics 12.5% 4.0% 4.5% 5.0% Ebara 11.5% Other 1.0% Taiyo Toyo Sanso 10.0% Kanken Techno 17.5% 3.0% 3.0% 4.0% 5.5% Nippon Sanso Ebara 6.0% 6.0% Showa Denko 6.5% Japan Pionics 12.5% other 20.8% Ebara 12.5% Kanken Techno 22.2% Japan Pionics 5% Edwards Taiyo 15% Nippon Sanso 14% Edwards 11.5% Ebara 11% Others 14% Kanken Techno 30% Taiyo Nippon Sanso 25% Share of Combustions are decreasing, and that of electric heater are increasing. 10

11 Abatement System of KT1000 The combination of inlet and outlet wet scrubbers, and electric heated reactor is our original technology The First KT1000 (POU Scrubber) was released in 1993 based on the 15 years of designing and manufacturing experiences in central abatement systems and deodorizers for many fields of plants. We have been manufacturing those systems more than 30 years. U.S Pat : No Japan PT : No No No No No No No No

12 Feature & Result Feature Abatement with oxidation using Electric Heater Safe Low running Cost Low environmental load Scrubber at front & back side Unique system with Patent High efficiency Installation Result 5000unit as of Jan

13 System Flow Inlet Scrubber Reactor Outlet Scrubber Feed Gas P INV Fan F Exhaust N 2 H Air F Fan Water Pump P Drain 13

14 Product Lines of KT Series Process Tools CVD Gas Cleaning Gas KT1000M CVD gas abatement KT1000MF/MFS/MFH/MFT/FA For high flow abatement CVD SiH 4 SiH 2 Cl2 Si2H6 PH 3 NH 3 B2H6 TEOS N 2 O WF6 F 2,HF NF 3 C2F6 KT1000H-mini Mini model with KT1000H KT1000F For NF 3 abatement KPL-C AP Plasma abatement with anti-powdery performance MOCVD Etching Ion Implant Epitaxial O3 H 2 NH 3 SiH 4 etc Implantation AsH3 PH 3 BF3 Epitaxy H 2 base SiH 2 Cl2 HCl COF 2 Etch Gas SiF4 HBr HCl CF4 SF 6 BCl3 etc KT1000MOC/MOCA For MOCVD abatement KD101&KD102 Abatement by Adsorbent KC100 Ozone killer by Catalyst KT1000EP Abatement incl. High concentration H 2 KW100/300 Wet Scrubber KPL-W&D AP Plasma abatement KT1000H/HA For PFC abatement incl. C2F6 KT1000EX/EXA For PFC abatement incl. CF4 14

15 Comparison between KT(Electrically Heated) & Fuelled-Combustion 15

16 Combustion Abatement Toxic substances are detoxified using flame Pros : All gases can be processed. Cons : Need Fuel Need Fuel Line Using Flame Not Safety Non-uniform Temperature distribution Make local high temperature region Local high temperature Producing thermal NOx Need Combustion Air Large volume of exhausted gas Combustion Byproduct CO 2 and other combustion gases 16

17 Electric Heater Abatement Toxic substances are detoxified using electric heaters Pros: Cons: All gases can be processed. No Fuel No Fuel Line No Flame Safety Uniform Temperature distribution Controllable No thermal NOx No Combustion Air Small volume of exhausted gas No Combustion Byproduct No CO 2 Energy can be saved during combustion gases abatement Long heat up time and cool down time Heater replacement (2-5 years, ~9 k$) Electric heater is the most superior abatement system for environmental load. 17

18 Low Environmental Load (NF 3 Abatement) Electric Heated Electrically- Heated N 2 :1L/min HF:6L/min Heater Exhaust Total 107L/min is cooling Exhaust prosess gas N 2 :100L/min by outlet scrubber. NF 3 :2L/min N 2 :100L/min Fuelld-Combustion NOx:2L/min Air:600L/min Combustion Exhaust Fuelledcombustion Fuel CO 2 :90L/min Fuel H 2 O:120L/min Total 918L/min is cooling by outlet scrubber. C 3 H 8 : 30L/min Air: 750L/min thermal NOx 18

19 Water included in the Exhausted Gases Prosess gas 100L Exhausted gas volume Electric Heated 120L Fuelld-Combustion Water in the Exhausted gas 4.04g Cause of corrosion and water condensed on the exhausted pipe 35 times 890L 140g 19

20 Abatement system for LED application KT1000MOC-W 20

21 GaN MOCVD Process Gases High Flow Rate of H 2 Around 200 SLM for 1 CVD chamber High Flow Rate of NH 3 Around 100 SLM for 1 CVD chamber Small amounts of dopant gases TMG, TMI, TMA, Cp 2 Mg, SiH 4, etc. High concentration of H 2 and NH 3 N 2 is almost equal of H 2. Abatements of NH 3, H 2 and MO should be required. Water Scrubber Problem of aqueous ammonia Combustion Problem of NOx byproduct Catalysis Problem of space and running cost Need New Proposal!! 21

22 Advantage Technology of KT1000MOC-W High flow rate of NH 3 is decomposed and oxidized using the electric heated high temperature reactor, which is Kanken Techno patent pendent. High flow rate of H 2 is simultaneously oxidized in the reactor. No supplying water and no aqueous ammonia drain. Very low emission of NOx ( less than 50 ppm) DREs of NH 3 and H 2 are more than 99.99%. Electricity also can be saved because of making full use of the reaction energy and of lower flow of the exhausted gas from the abatement system. It is possible to reuse the reaction energy of much volumes of NH 3 and H 2 as hot water or steam. 22

23 Schematic Flow 2NH 3 N 2 + 3H 2 2H 2 + O 2 2H 2 O 2(CH 3 ) 3 Ga + O 2 Ga 2 O 3 + 6CO 2 + 9H 2 O etc. F Exhaust ~40 Inlet H 2 ~800 SLM NH 3 ~400 SLM MO gases few Reactor Cooling Water Cooling Tower & Powder Trap Reaction Air Heat Exchanger 23

24 Comparison of NH 3 abatement systems Item Unit KT1000MOC Water Scrubber Catalysis LNG Combustion Processing Stand-by Processing Stand-by Processing Stand-by Processing Stand-by Electric Power MW/year Fuel km 3 /year % H 2 SO 4 ton/year Fresh Water m 3 /year (NH 4 ) 2 SO 4 treatment m 3 /year CO 2 Emission ton/year Total Cost 1000Yen/year H SLM, NH SLM, the other gases 550 SLM (Processing), 200 SLM (Stand-by), Processing 50 %. CO 2 Emission from Electricity Generation: kgco 2 /kw, Electric Power: 7 yen/kw, LNG 54 en/m 3, Fresh Water: yen/ton, H 2 SO 4 : 8120 yen/ton, Drain Treatment: 2000 yen/m 3.

Semiconductor Abatement Systems

Semiconductor Abatement Systems Semiconductor Abatement Systems Aug 2013 Jay Jung VP of Marketing What is the customer looking for? Capacity, Capability & Commitment GST Confidential 2 Capacity Size Engineering Capacity Production Capacity

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Head Fab Environmental Solutions, Applied Materials AGS/EPG/FES Executive summary Today, carbon footprint reduction

More information

Energy and resource savings in Subfab

Energy and resource savings in Subfab Energy and resource savings in Subfab Andreas Neuber, Kent Lee Hsinchu, Dec. 4, 2015 Content ITRS benchmarks Energy consumption Focus areas Subfab Best Practices Future Outlook 2 ITRS Facilities Technology

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

SEMICONDUCTOR INDUSTRY EHS

SEMICONDUCTOR INDUSTRY EHS SEMICONDUCTOR INDUSTRY EHS AGENDA CURRENT & FUTURE ISSUES POINT-OF-USE (POU) DEVICE INFORMATION EXPECTATIONS FOR POU DEVICE SUPPLIERS PFC S (GLOBAL WARMING) IN APRIL, 1999, THE WORLD SEMICONDUCTOR COUNCIL

More information

Semiconductor Pumps. ixl Dry Pumps 238. EPX on-tool High Vacuum Pumps 240. GX Dry Pumps Page 237. Shop online at

Semiconductor Pumps. ixl Dry Pumps 238. EPX on-tool High Vacuum Pumps 240. GX Dry Pumps Page 237. Shop online at Semiconductor Pumps ixl Dry Pumps 238 EPX on-tool High Vacuum Pumps 240 GX Dry Pumps 256 23 ixl120 Dry Pump System ixl120 is a compact, low energy, 110 m 3 /h dry pump for wafer handling and other clean

More information

EXHAUST MANAGEMENT PRIMARILY ACID COMPOUNDS. MINIMAL VOLATILE ORGANIC COMPOUNDS (VOC). HMDS FROM PHOTO CAN BE EXHAUSTED (RATHER THAN TO VOC EXHAUST).

EXHAUST MANAGEMENT PRIMARILY ACID COMPOUNDS. MINIMAL VOLATILE ORGANIC COMPOUNDS (VOC). HMDS FROM PHOTO CAN BE EXHAUSTED (RATHER THAN TO VOC EXHAUST). EXHAUST MANAGEMENT EXHAUST MANAGEMENT ACID EXHAUST PRIMARILY ACID COMPOUNDS. MINIMAL VOLATILE ORGANIC COMPOUNDS (VOC). HMDS FROM PHOTO CAN BE EXHAUSTED (RATHER THAN TO VOC EXHAUST). AMMONIA NEEDS TO BE

More information

Wet-Burn-Wet Abatement Evaluation on 300mm Silicon Nitride Deposition. SESHA Conference 2017

Wet-Burn-Wet Abatement Evaluation on 300mm Silicon Nitride Deposition. SESHA Conference 2017 Wet-Burn-Wet Abatement Evaluation on 3mm Silicon Nitride Deposition SESHA Conference 217 Agenda Outline Introduction and Objectives Test Setup and Timeline Chamber Cleaning Test Results Deposition Process

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

International Panel on Climate Change, IPCC ~5.8 9~88

International Panel on Climate Change, IPCC ~5.8 9~88 PFCs 2 0 0 4 1 PFCs 25 2001 International Panel on Climate Change, IPCC 2001 2100 1.4~5.8 9~88 1992 United Nations Framework Convention on Climate Change, UNFCCC anthropogenic greenhouse gases 1997 Kyoto

More information

Chapter 7 Polysilicon and Dielectric Film Deposition

Chapter 7 Polysilicon and Dielectric Film Deposition Chapter 7 Polysilicon and Dielectric Film Deposition Professor Paul K. Chu Thin Films in Microelectronics Polycrystalline silicon or polysilicon Doped or undoped silicon dioxide Stoichiometric or plasma-deposited

More information

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion Semiconductor Industry Gas Filtration Update Corrosive gases are easily handled with all Hastelloy gas filters from Mott. Today, Mott is the premier supplier of Hastelloy-based filters to the semiconductor

More information

Advanced solar cell production requires a systems-level approach to achieve abatement goals

Advanced solar cell production requires a systems-level approach to achieve abatement goals Advanced solar cell production requires a systems-level approach to achieve abatement goals Words: Chris Jones, Senior Product Manager, Edwards Vacuum Solar panel manufacturers strive to minimize cost

More information

Case No. 601: Reducing NOx Emissions from Nitric Acid Manufacturing Plants with NOx Abatement or NSCR

Case No. 601: Reducing NOx Emissions from Nitric Acid Manufacturing Plants with NOx Abatement or NSCR STATIONARY EMISSIONS CONTROL 900 FORGE AVENUE Suite 100 AUDUBON, PA 19403-2305 USA T +1484-320-2136 F +1 484-320-2152 WWW. JMSEC.COM : Reducing NOx Emissions from Nitric Acid Manufacturing Plants with

More information

NO x and SO x Simultaneous Removal from Exhaust Gas in a Glass Melting Furnace Using a Combined Ozone Injection and Chemical Hybrid Process

NO x and SO x Simultaneous Removal from Exhaust Gas in a Glass Melting Furnace Using a Combined Ozone Injection and Chemical Hybrid Process NO x and SO x Simultaneous Removal from Exhaust Gas in a Glass Melting Furnace Using a Combined Ozone Injection and Chemical Hybrid Process H. Fujishima 1, H. Yamamoto 1, 2, T. Kuroki 1, M. Okubo 1 1 Department

More information

Special Edition on the Environment

Special Edition on the Environment Special Edition on the Environment Action Plan for Reducing Greenhouse Gases at Semiconductor Manufacturing Factories Masaya IWAMOTO*, Kunihiro YARITA*, Mikio MATSUKI*, Norio TANAKA** Abstract In recent

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Draft Large-scale Methodology AM00XX: Abatement of fluorinated greenhouse gases in semiconductor manufacturing

Draft Large-scale Methodology AM00XX: Abatement of fluorinated greenhouse gases in semiconductor manufacturing CLEAN DEVELOPMENT MECHANISM CDM-MP58-A02 Draft Large-scale Methodology AM00XX: Abatement of fluorinated greenhouse gases in semiconductor Sectoral scope(s): 04 and 09 COVER NOTE 1. Procedural background

More information

Plasma-based cleaning of exhaust gases of combustion Plasma based cleaning of exhaust gases of combustion(wp4)

Plasma-based cleaning of exhaust gases of combustion Plasma based cleaning of exhaust gases of combustion(wp4) Plasma-based cleaning of exhaust gases of combustion Plasma based cleaning of exhaust gases of combustion(wp4) Eugen Stamate, Cornelia Iremiea, Technical University of Denmark, Denmark Indrek Jõgi, University

More information

Lecture 8 Chemical Vapor Deposition (CVD)

Lecture 8 Chemical Vapor Deposition (CVD) Lecture 8 Chemical Vapor Deposition (CVD) Chapter 5 & 6 Wolf and Tauber 1/88 Announcements Homework: Homework Number 2 is due on Thursday (19 th October). Homework will be returned one week later Thursday

More information

NOx Reduction: Flue Gas Recirculation vs Selective Catalytic Reduction. Presented by Jason Jacobi October 28, 2015

NOx Reduction: Flue Gas Recirculation vs Selective Catalytic Reduction. Presented by Jason Jacobi October 28, 2015 NOx Reduction: Flue Gas Recirculation vs Selective Catalytic Reduction Presented by Jason Jacobi October 28, 2015 Agenda NOx Regulations What is NOx? NOx types How to control NOx? What is FGR? What is

More information

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS Pioneering ALD experience since 1974 The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS SUNALE P-SERIES ALD SYSTEMS PRODUCT CATALOGUE Description SUNALE P-series ALD system SUNALE P-series

More information

JEITA Guideline for F-GHG Characterization and Management

JEITA Guideline for F-GHG Characterization and Management JEITA Guideline for F-GHG Characterization and Management Established in October, 2011 Prepared by Semiconductor Environment and Safety Committee Published by Japan Electronics and Information Technology

More information

1) ABSORPTION The removal of one or more selected components from a gas mixture by absorption is probably the most important operation in the control

1) ABSORPTION The removal of one or more selected components from a gas mixture by absorption is probably the most important operation in the control 1) ABSORPTION The removal of one or more selected components from a gas mixture by absorption is probably the most important operation in the control of gaseous pollutant emissions. Absorption is a process

More information

Integrated Plasma Inc.

Integrated Plasma Inc. Integrated Plasma Inc. IPI Local Scrubber IPI develops, manufactures and sells equipments and materials for the Industries of Semiconductor/Solar/LCD/LED. The Most Quality and Professional Scrubber Maker

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 10 Oxidation 2001 2000 by Prentice Hall Diffusion Area of Wafer Fabrication Wafer fabrication (front-end)

More information

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC.

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. FINAL MANDATORY GHG REPORTING RULE SUBPART A Subpart A is General Provisions. Includes who must report;

More information

PFC Emissions Monitoring by FT-IR in LCD Manufacturing Processes. MIDAC Corporation

PFC Emissions Monitoring by FT-IR in LCD Manufacturing Processes. MIDAC Corporation PFC Emissions Monitoring by FT-IR in LCD Manufacturing Processes MIDAC Corporation Overview FT-IR monitoring to reduce PFC emissions in Semiconductor Facilities Applying FT-IR Monitoring to LCD manufacturing

More information

Your Presenters: Scott Bayon / Director of Sales Anguil Environmental Systems Brian Kunkle / Director of Systems Sales Verantis Environmental

Your Presenters: Scott Bayon / Director of Sales Anguil Environmental Systems Brian Kunkle / Director of Systems Sales Verantis Environmental Your Presenters: Scott Bayon / Director of Sales Anguil Environmental Systems Brian Kunkle / Director of Systems Sales Verantis Environmental Solutions Group. Status and Certificate Quiz PDH Overview Today

More information

PRESSURE REGULATOR AND VALVE SELECTION GUIDE

PRESSURE REGULATOR AND VALVE SELECTION GUIDE PRESSURE REGULATOR AND VALVE SELECTION GUIDE Technical Bulletin #8G Scope: This document is a reference guide to help customers determine an appropriate AP Tech valve and regulator to be used in process

More information

Industrial,Medical andspecialtygases

Industrial,Medical andspecialtygases I I Industry xperts I RedefinesBusinessAcumen Construction&Manufacturing Industrial,Medical andspecialtygases AGlobalMarketOverview Thereportreviews,analyzesandprojects theglobalmarketforindustrial,medicaland

More information

Overall Air Emissions Control Strategy Tim Higgs Intel Corp. 145 S. 79 th St. MS: CH10-22 Chandler, AZ (480)

Overall Air Emissions Control Strategy Tim Higgs Intel Corp. 145 S. 79 th St. MS: CH10-22 Chandler, AZ (480) Overall Air Emissions Control Strategy Tim Higgs Intel Corp. 145 S. 79 th St. MS: CH10-22 Chandler, AZ 85226 (480)554-5870 Introduction Air program requirements for semiconductor manufacturing facilities

More information

Solar Cell Production

Solar Cell Production Environmental Solutions for Solar Cell Production CVD Reactor* Solar Thin Film Cell* Polysilicon* *Images courtesy of Poly Plant Project, Inc. (PPP-E) Environmental Solutions for Solar Cell Production

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

Cleaning biomass generated syngas: is biochar a cheaper alternative to expensive catalysts? Ajay Kumar Oklahoma State University

Cleaning biomass generated syngas: is biochar a cheaper alternative to expensive catalysts? Ajay Kumar Oklahoma State University Cleaning biomass generated syngas: is biochar a cheaper alternative to expensive catalysts? Ajay Kumar Oklahoma State University Biomass Feedstocks Biofuels through Thermochemical Conversions Characterization

More information

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES*

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES* SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES* Xudong Peter Xu and Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 6181 November 1998 *Work supported

More information

450mm Innovations and Synergies for Smaller Diameters Dr. Mike Czerniak, Global Product Manager, Edwards EMS Business Presented by Steve Cottle,

450mm Innovations and Synergies for Smaller Diameters Dr. Mike Czerniak, Global Product Manager, Edwards EMS Business Presented by Steve Cottle, 450mm Innovations and Synergies for Smaller Diameters Dr. Mike Czerniak, Global Product Manager, Edwards EMS Business Presented by Steve Cottle, Senior Product Manager, Edwards EMS, Clevedon, UK Contents

More information

Oxidation SMT Yau - 1

Oxidation SMT Yau - 1 Oxidation Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Describe an oxide film for semiconductor manufacturing, including its atomic structure, how it is used

More information

Cross-effects and total gas clean-up system lay-out

Cross-effects and total gas clean-up system lay-out Cross-effects and total gas clean-up system lay-out Gas clean-up for power plants and waste incinerators Effect of emission control on emissions and emission control for other species see: www.hut.fi/~rzevenho

More information

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK FULLY INTEGRATED PLASMA SOURCE PLATFORM FOR HIGH-FLOW AND HIGH-PRESSURE, REACTIVE-GAS PROCESSES The high-efficiency Xstream platform, mounted outside

More information

AIR PERMITTING WAFER FABS. MIKE SHERER SHERER CONSULTING SERVICES, INC

AIR PERMITTING WAFER FABS. MIKE SHERER SHERER CONSULTING SERVICES, INC AIR PERMITTING WAFER FABS MIKE SHERER SHERER CONSULTING SERVICES, INC. 480-773-5548 msherereng@aol.com Chiller(s) Temp. Control Hum. Controls CDA Vac. Wafers In Other - In Elec. Power ESD Control Vib.

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Kazushige KUROSAWA*, Zhibao ZHANG**, and Zhengbing WANG** [Delivered Products & Systems] 1. Introduction. 2. Overview of Nanjing

Kazushige KUROSAWA*, Zhibao ZHANG**, and Zhengbing WANG** [Delivered Products & Systems] 1. Introduction. 2. Overview of Nanjing [Delivered Products & Systems] Report on Delivery and Operational Condition of Grate-type (Stoker-type) Incinerator with Advanced Flue Gas Treatment System in China -Nanjing City, Jiangsu Province- Kazushige

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

CRYOGENIC SOLVENT ABATEMENT (VOC s )

CRYOGENIC SOLVENT ABATEMENT (VOC s ) CRYOGENIC SOLVENT ABATEMENT (VOC s ) 1. Introduction The technology for removing volatile organic compounds (V.O.C.s) from gas has been developed to meet the emission limits, decreased during the last

More information

ENVIRONMENTAL ISSUES EMISSIONS, POLLUTION CONTROL, ASSESSMENT AND MANAGEMENT

ENVIRONMENTAL ISSUES EMISSIONS, POLLUTION CONTROL, ASSESSMENT AND MANAGEMENT ENVIRONMENTAL ISSUES EMISSIONS, POLLUTION CONTROL, ASSESSMENT AND MANAGEMENT Introduction Base Coal has a long and rich history of use in providing a source of light, transport, and electricity for industry

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

High-Efficiency Integrated Solid Wasteto-Energy

High-Efficiency Integrated Solid Wasteto-Energy High-Efficiency Integrated Solid Wasteto-Energy System Kuanrong Qiu and Skip Hayden CANMET Energy Technology Centre-Ottawa Natural Resources Canada Drivers and Opportunities Landfills not a sustainable

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

TruePeak TDLS200. NH 3 Slip Measurement. <Document Number> Copyright Yokogawa Electric Corporation <date/time>

TruePeak TDLS200. NH 3 Slip Measurement. <Document Number> Copyright Yokogawa Electric Corporation <date/time> TruePeak TDLS200 NH 3 Slip Measurement One Background One Two Three Four Gas-Fired Installations The SCR control system must be able to perform in a range of conditions Flue gases from

More information

NEW TECHNOLOGIES IN COAL-FIRED THERMAL POWER PLANTS FOR MORE EFFECTIVE WORK WITH LESS POLLUTION

NEW TECHNOLOGIES IN COAL-FIRED THERMAL POWER PLANTS FOR MORE EFFECTIVE WORK WITH LESS POLLUTION UDK 621.311.22:502.174 Dip.el.eng. Igor SEKOVSKI NEW TECHNOLOGIES IN COAL-FIRED THERMAL POWER PLANTS FOR MORE EFFECTIVE WORK WITH LESS POLLUTION Abstract Today people make a lot of analysis, of work of

More information

ChE 505 Chapter 1N revised 01/17/13

ChE 505 Chapter 1N revised 01/17/13 ENVIRONMENTAL REACTION ENGINEERING 1.1 Introduction Chemical reactions play a key role in generation of pollutants (e.g. combustion of fossil fuels) as well as in pollution abatement (e.g. automobile exhaust

More information

Epitaxy Processing and Safety Considerations. Alan Simpson

Epitaxy Processing and Safety Considerations. Alan Simpson Epitaxy Processing and Safety Considerations Alan Simpson 1 Epitaxy From the Greek words: EPI - Meaning upon, on, above TAXIS - Meaning ordered, arrangement The epitaxy growth process involves the formation

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Industrial Air Purification. Fridge Recycling. Purification of all CFC / HCFC / HFC / VOC

Industrial Air Purification. Fridge Recycling. Purification of all CFC / HCFC / HFC / VOC Industrial Air Purification Fridge Recycling Purification of all CFC / HCFC / HFC / VOC Purification of Emissions from Fridge Recycling Process The combined CFC / Pentane abatement plant provides a favourable

More information

Control Device Technology

Control Device Technology Control Device Technology A quick summary of various control measures and important monitoring characteristics Peter Westlin, EPA, OAQPS Example Control Measures and Monitoring Approaches Organics gases

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Development of Technology for Advanced Utilization of Hydrogen from By-product Gas of Steelmaking Process

Development of Technology for Advanced Utilization of Hydrogen from By-product Gas of Steelmaking Process Technical Review UDC 669. 1. 054. 8 Development of Technology for Advanced Utilization of Hydrogen from By-product Gas of Steelmaking Process Ken-ichiro FUJIMOTO* Kimihito SUZUKI 1. Introduction Huge volumes

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Thermal Oxidation plants February 2016

Thermal Oxidation plants February 2016 Thermal Oxidation plants February 2016 Thermal Oxidation of gaseous waste Typical Processed Stream: Contaminated Air by Hydrocarbons Contaminated Air by Solvents Contaminated Air by Stripping/Scrubbing

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Plant Engineering. Engineering Technology

Plant Engineering. Engineering Technology Plant Engineering Taiyo Nippon Sanso assumes full control of every aspect of plant construction from feasibility studies, engineering, procurement of materials, manufacturing of equipment, construction,

More information

Pilot-Scale NO x and SO x Aftertreatment Using a Two-Phase Ozone and Chemical Injection in Glass-Melting-Furnace Exhaust Gas

Pilot-Scale NO x and SO x Aftertreatment Using a Two-Phase Ozone and Chemical Injection in Glass-Melting-Furnace Exhaust Gas Proc. 2018 Electrostatics Joint Conference 1 Pilot-Scale NO x and SO x Aftertreatment Using a Two-Phase Ozone and Chemical Injection in Glass-Melting-Furnace Exhaust Gas Hashira Yamamoto 1, 2, Tomoyuki

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Dealing with Impurities in Processes and Process Simulators

Dealing with Impurities in Processes and Process Simulators Dealing with Impurities in Processes and Process Simulators ChEN 5253 Design II Terry A. Ring There is not a chapter in the book on this subject Impurity Effects Heat Exchange Reactors Separation Systems

More information

Semiconductor Manufacturing Process 10/11/2005

Semiconductor Manufacturing Process 10/11/2005 Semiconductor Manufacturing Process 10/11/2005 Photolithography Oxidation CVD PVD Photolithography The purpose of photolithography is to imprint the desired pattern of a micro component on a substrate,

More information

Development of Technologies to Utilize Green Ammonia in Energy Market

Development of Technologies to Utilize Green Ammonia in Energy Market Development of Technologies to Utilize Green Ammonia in Energy Market November 1, 2018 Shigeru Muraki Program Director of SIP Energy Carriers Cabinet Office, Government of Japan 1 Policies and Actions

More information

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Kinetics of Silicon Oxidation in a Rapid Thermal Processor Kinetics of Silicon Oxidation in a Rapid Thermal Processor Asad M. Haider, Ph.D. Texas Instruments Dallas, Texas USA Presentation at the National Center of Physics International Spring Week 2010 Islamabad

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Patented AmmEL Process for the Treatment of Ammonia in Low Temperature Mine Wastewater; Ammonia Converted to Environmentally Friendly Nitrogen Gas

Patented AmmEL Process for the Treatment of Ammonia in Low Temperature Mine Wastewater; Ammonia Converted to Environmentally Friendly Nitrogen Gas Patented AmmEL Process for the Treatment of Ammonia in Low Temperature Mine Wastewater; Ammonia Converted to Environmentally Friendly Nitrogen Gas Gene S. Shelp, Leonard P. Seed, Daren Yetman and John

More information

Device Fabrication: CVD and Dielectric Thin Film

Device Fabrication: CVD and Dielectric Thin Film Device Fabrication: CVD and Dielectric Thin Film 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Catalytic Activated Ceramic Dust Filter a new technology for combined removal of dust, NOx, dioxin, VOCs and acids from off gases.

Catalytic Activated Ceramic Dust Filter a new technology for combined removal of dust, NOx, dioxin, VOCs and acids from off gases. Catalytic Activated Ceramic Dust Filter a new technology for combined removal of dust, NOx, dioxin, VOCs and acids from off gases. * Presenting author Peter Schoubye and Joakim Reimer Jensen *, Haldor

More information

Thin film. Lithography, thin film deposition and its etching are the three most important processes for micro-nano fabrication. 2

Thin film. Lithography, thin film deposition and its etching are the three most important processes for micro-nano fabrication. 2 Thin film deposition 1. Introduction to thin film deposition. 2. Introduction to chemical vapor deposition (CVD). 3. Atmospheric Pressure Chemical Vapor Deposition (APCVD). 4. Other types of CVD (LPCVD,

More information

UPDATE ON SB 14 SEMICONDUCTOR INDUSTRY ASSESSMENT

UPDATE ON SB 14 SEMICONDUCTOR INDUSTRY ASSESSMENT UPDATE ON SB 14 SEMICONDUCTOR INDUSTRY ASSESSMENT Relly Briones California Environmental Protection Agency Department of Toxic Substances Control Office of Pollution Prevention and Technology Development

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

SCR for NO x Control in Coal-fired Power Plants

SCR for NO x Control in Coal-fired Power Plants SCR for NO x Control in Coal-fired Power Plants The McIlvaine Company April 7, 2011 Hot Topic Hour on New FGD and DeNOx Approaches Ken Jeffers Sr Applications Engineer SCR Basics Quick Review Flue Gas:

More information

Superior Efficiency Reduced Costs Viable Alternative Energy Kalex Kalina Cycle Power Systems For Biomass Applications

Superior Efficiency Reduced Costs Viable Alternative Energy Kalex Kalina Cycle Power Systems For Biomass Applications Superior Efficiency Reduced Costs Viable Alternative Energy Kalex Kalina Cycle Power Systems For Biomass Applications Copyright 2009, 2010, Kalex LLC. Kalex LLC's Kalina Cycle for Biomass Applications

More information

Valuable Partner for Everyone Gas & IT Materials Solution Provider

Valuable Partner for Everyone Gas & IT Materials Solution Provider www.sk-materials.com Valuable Partner for Everyone Gas & IT Materials Solution Provider HQ and Plant : 59-33 Gaheunggongdan-ro, Yeongju, Gyeongsangbuk-do, 36059 Korea TEL. +82-54-630-8114 FAX. +82-54-630-8145

More information

3M Meridian Sensor Specifications

3M Meridian Sensor Specifications 3M Table of contents sensor specification guide Combustible sensors 3 Combustible Catalytic Bead Sensor 4 Common K-Factors (Relative to Methane Toxic sensors 5 Ammonia (NH 3 Sensor 6 Bromine, High RH (RS

More information

Gas and surface applications of atmospheric pressure plasmas

Gas and surface applications of atmospheric pressure plasmas Gas and surface applications of atmospheric pressure plasmas Eugen Stamate Technical University of Denmark Roskilde 4000, Denmark OUTLINE Introduction of DTU Energy Conversion and Storage Activities in

More information

Air Pollution Control

Air Pollution Control Material Issue Air Pollution Control Strategies TSMC 2020/2025 Goals Achievements & Targets Best Available Technology (BAT) Using BAT to deal with operational pollution and reduce its environmental impact

More information

Examples of dry etching and plasma deposition at Glasgow University

Examples of dry etching and plasma deposition at Glasgow University Examples of dry etching and plasma deposition at Glasgow University Glasgow has pioneered and established many novel research activities involving the development of new dry etch processes and dry etch

More information

A Presentation Prepared For: PROCESS COMBUSTION CORPORATION

A Presentation Prepared For: PROCESS COMBUSTION CORPORATION A Presentation Prepared For: PROCESS COMBUSTION CORPORATION Introductions Mike Foggia - Business Development / Marketing Manager Son Nguyen Business Development Manger Gulf Coast 2 Program Objectives Technology

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Recent Development of Waste Treatment by Reactive Thermal Plasmas in Japan

Recent Development of Waste Treatment by Reactive Thermal Plasmas in Japan Recent Development of Waste Treatment by Reactive Thermal Plasmas in Japan Takayuki Watanabe Research Laboratory for Nuclear Reactors, Tokyo Institute of Technology, Tokyo, Japan Abstract Attractive waste

More information

Sorbents Evaluation Testing Facilities. 95% removal efficiency or an emission standard of lbs/gw h by 2012, while

Sorbents Evaluation Testing Facilities. 95% removal efficiency or an emission standard of lbs/gw h by 2012, while Sorbents Evaluation Testing Facilities Several states have enacted Hg emission regulations that are more stringent than the CAMR milestones. For example, Massachusetts will require power plants to achieve

More information

Air Purification Technology Overview

Air Purification Technology Overview COLLECTIVE PROTECTION (COL PRO) 2005 CONFERENCE MONTEREY CALIFORNIA, 21-23 JUNE 2005 Air Purification Technology Overview Presented by Christopher J. Karwacki Co-Authors: Michael Parham, Greg Peterson,

More information

A High Temperature Gas Loop to Simulate VHTR and Nuclear Hydrogen Production System

A High Temperature Gas Loop to Simulate VHTR and Nuclear Hydrogen Production System 20th International Conference on Structural Mechanics in Reactor Technology (SMiRT 20) Espoo, Finland, August 9-14, 2009 SMiRT 20-Division 10, Paper 1870 A High Temperature Gas Loop to Simulate VHTR and

More information

Information Centre Nitric Acid Plants. Kittiwake Procal Ltd Page 1 of 6

Information Centre Nitric Acid Plants. Kittiwake Procal Ltd Page 1 of 6 Information Centre Kittiwake Procal Ltd Page 1 of 6 Nitric Acid Nitric acid is a strong highly corrosive and toxic acid. Pure nitric acid is colourless but aged solutions can appear yellow due to oxidation.

More information

Emissions abatement in Waste-to-Energy Systems

Emissions abatement in Waste-to-Energy Systems 17 th European Symposium on Computer Aided Process Engineering ESCAPE17 V. Plesu and P.S. Agachi (Editors) 2007 Elsevier B.V. All rights reserved. 1 Emissions abatement in Waste-to-Energy Systems Tomas

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Company Profile. Growing as a company specializing in Advanced Materials. As a company specializing in Advanced Materials in Korea,

Company Profile. Growing as a company specializing in Advanced Materials. As a company specializing in Advanced Materials in Korea, 1 Company Profile Growing as a company specializing in Advanced Materials As a company specializing in Advanced Materials in Korea, Hanso Inc. focuses on cutting-edge nanomaterials business, using the

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

NANOCHEM Purifiers. Complete Purification Solutions with

NANOCHEM Purifiers. Complete Purification Solutions with Complete Purification Solutions with NANOCHEM Purifiers Since 1985, MATHESON NANOCHEM purifiers have provided unprecedented purification solutions to the electronic industry. NANOCHEM purifiers were the

More information

Woody Biomass Cogeneration Facility in the Asphalt Mixing Factory

Woody Biomass Cogeneration Facility in the Asphalt Mixing Factory Woody Biomass Cogeneration Facility in the Asphalt Mixing Factory K. Denda Machine Department, Product Business Group, Maeda Road Construction Co., Ltd., Tokyo, Japan F. Ishibashi, K. Sekiguchi Tokyo Integrated

More information

Micromachined NH3 Gas Sensor with ppb-level Sensitivity Based on WO3 Nanoparticles Thinfilm

Micromachined NH3 Gas Sensor with ppb-level Sensitivity Based on WO3 Nanoparticles Thinfilm Micromachined NH3 Gas Sensor with ppb-level Sensitivity Based on WO3 Nanoparticles Thinfilm Author Dao, Dzung Viet, Shibuya, Kyoji, Tung, Bui Thanh, Sugiyama, Susumu Published 2011 Conference Title Proc.

More information

Energy Production Systems Engineering

Energy Production Systems Engineering Welcome to Energy Production Systems Engineering USF Polytechnic Engineering tom@thomasblairpe.com Session 10: Environmental Controls Spring 2012 Plant Environmental Control Systems Power plant Environmental

More information