All-wet stripping process for highly implanted photoresist

Size: px
Start display at page:

Download "All-wet stripping process for highly implanted photoresist"

Transcription

1 All-wet stripping process for highly implanted photoresist Close Executive OVERVIEW A new all-wet stripping process eliminates the need for dry plasma ashing processes in the removal of highly implanted photoresist, while maintaining low defectivity levels and high yield performance at least equivalent to the process of record. The elimination of the ashing step reduces undesirable substrate damage and material losses, improves cycle time, frees up fab floor space, and reduces capital investment and operating costs. Ron Nan, Freda Lee, Jey Hung, SMIC, Shanghai, P.R.C.; James. M.M. Chu, Jack Yuan, David Yang, FSI International, Hsinchu, Taiwan R.O.C., Jeffery W. Butterbaugh, FSI International, Chaska, MN USA In CMOS fabrication, ion implantation is used to modify the silicon substrate for various band gap engineering needs. Typically, patterned photoresist (PR) is used to define the ion implant location. After ion implantation, the patterned photoresist must be completely removed and the surface must be prepared for the next round of patterning and ion implantation. Ion implantation forms a tough layer at the surface of the photo resist, making it difficult to remove. Implanted photoresist is typically removed using dry plasma ashing, followed by wet chemical cleaning. Three loops of ion implant process isolation (well) loop, transistor channel loop, and transistor structure loop are used to build a CMOS device. The well loop alone accounts for nearly one-third of the total process layers, and can involve more than 21 steps of ion implantation and photoresist stripping in the case of 90nm logic CMOS fabrication. Thus, any reduction in cycle time multiplies quickly to provide a significant benefit in total processing time. All-wet photoresist advantages An all-wet photoresist removal process has been proposed to eliminate the potential for plasma-induced substrate damage and reduce substrate material loss [1, 2]. In addition, eliminating the plasma ash step dramatically reduces the ion implantation patterning cycle time, which can be especially important for foundry CMOS fabrication. In a high-volume production environment, adoption of such an all-wet photoresist stripping process can only be justified if it matches the final yield performance of the existing process of record (POR). In the methodology discussed in this article, therefore, all-wet post-ion implantation photoresist resist stripping qualification is

2 started from the well loop for both nmos and pmos, with multiple implantation steps. The qualification results show equivalent physical defect control and >99.9% yield performance similarity compared to the ash plus wet clean POR. Estimated benefits resulting from the adoption of this process include a >60% reduction in process cycle time and a >300% improvement in productive cleanroom space utilization. The ViPR all-wet photoresist removal solution developed by FSI is a batch spray process with point of use (POU) mixing of pre-heated (150 C) sulfuric acid and room temperature hydrogen peroxide (SPM), capable of achieving a temperature of up to 200 C on the wafer surface due to exothermic mixing. The all-wet process sequence is used for complete removal of implanted photoresist, with low defectivity. A three-stage methodology was used to systematically develop and qualify the PR all-wet process in the existing production flow: In stage one, the process condition for damage-free all-wet implanted PR stripping was determined by tuning the high temperature SPM step conditions. In stage two, the ammonium hydroxide-hydrogen peroxide (APM) step was tuned for the lowest defectivity and material loss matching. Stage two included tuning the intermittent de-ionized water rinse steps, APM ratio, final de-ionized water rinse steps, and final nitrogen spin dry. In stage three, production split-lots were used with in-line metrology to characterize any remaining physical defects and with final yield electrical measurements at the wafer acceptance test (WAT). Process results: Stages 1 and 2. First, the post-ion-implant photoresist all-wet stripping process condition was determined through short loop wafer test. The test results indicate the well loop post-ion-implant photoresist can be effectively stripped within five minutes of SPM exposure. Then, the chemical process-induced material loss behavior that takes place on polysilicon and silicon oxide are explored by blanket wafers with extended chemical exposure time.

3 Figure 1. Material loss behavior of APM conditions in PR all-wet and post-ash clean. The etch rate behavior from both the manufacturing baseline (post-ash clean) process and the all-wet stripping process are shown in Fig. 1. The etch rate data were used to set the all-wet stripping process parameters to minimize the fall-on defect control performance and to match process-induced material loss to the manufacturing baseline on current device geometries. A process using five minutes of SPM exposure followed by two minutes of APM exposure was used for all four well loops in this work. Yield lot results: Stage 3. The yield lot wafers were prepared with a 300mm standard process flow, and split at the well loop photoresist stripping steps between the existing POR (plasma ash followed by wet cleaning) and the all-wet process. After well loop ion implantation, the split wafers were merged and followed the rest of the baseline process steps to complete the CMOS fabrication. Inline defect scanning was used to measure the physical defect performance during processing, and automatic electrical probing was used in the wafer acceptance test (WAT) for final yield performance comparison over selected performance items. Yield performance benchmark To achieve a volume production comparison between the post-ion implant photoresist all-wet stripping process and current baseline (ash + post-ash clean) process, a proprietary statistical control technique, called the harmonization confidence [3], was used to benchmark the wafer final yield performance. This technique uses step-by-step identical characterization during the fabrication process and final yield performance analysis through various wafer acceptance tests (WAT),

4 and then employs a proprietary algorithm to evaluate the harmonization confidence and determine the similarity of process results. Figure 2. The well loop fall-on defect control result. Physical defect scanning of the yield lot wafers is done on an in-line defect scan tool after the post-ion implant photoresist stripping process on each layer. The physical scan determined any possible pattern damage, photoresist residue, and fall-on particle on the wafer. The developed damage-free post-ion implant photoresist stripping processes for both baseline and all-wet process gave the same defect performance on yield lot wafers. The fall-on particles results (Fig. 2) shows the allwet process has achieved equivalent defect performance on both nmos and pmos well loops. Yield performance index matching to POR. In final WAT, the electrical performance for the device saturation current (I dsat ), off-state current (I off ), and threshold voltage at constant current (V th ) were measured on two test keys on both nmos and pmos. The overall device yield performance comparison was made using the harmonization confidence technique, described earlier, to check the similarity of process results and to qualify device functionality. Figure 3 shows the harmonization confidence level for the all-wet stripping split compared to the POR split is >99.9% for all parameters, which is well above the targeted goal of 99%.

5 Figure 3. Wafer acceptance test result comparison by harmonization of the confidence level. Operational benefit review Process cycle time. Compared to the POR (ash + wet), this all-wet process can reduce the photoresist stripping cycle time from 70 minutes to about 25 minutes for each mask about one-third of the POR baseline cycle time. Considering the seven mask layers of the well loop, total cycle time through all-wet photoresist stripping is reduced from eight hours to about five hours, which is a >60% reduction in cycle time.

6 Figure 4. Cleanroom space productivity (wafer per hour vs. space occupation). Cleanroom space occupation. Compared to the baseline process cell configuration (two ashers + one wet bench), this all-wet process cell also reduces the required cleanroom space for a given throughput requirement. A ratio of throughput rate per unit space is used to demonstrate the difference in Fig. 4. The all-wet process cell has a ratio of compared to the baseline process cell ratio of By this criterion, the all-wet process cell shows >300% increase in cleanroom space utilization. Conclusion An all-wet photoresist removal process has been developed that reduces the number of process steps and eliminates the potential for plasma-induced substrate damage, while also minimizing substrate material loss. As demonstrated in the process qualification experiment detailed in this article, this stripping methodology delivers equivalent defect control and comparable yield control (>99.9%) when compared with the current manufacturing baseline process (plasma ashing followed by wet clean). In addition, the all-wet process demonstrates the capability to reduce the ion implantation cycle time by >60%, while delivering a >300% improvement in cleanroom space utilization. References

7 1. K. K. Christenson, J. W. Butterbaugh, T. J. Wagener, N. Pyo Lee, B. Schwab, M. Fussy, J. Diedrick, Solid State Phenomena, 134, p. 109 (2008). 2. B. K. Kirkpatrick, J. J. Chambers, S. L. Prins, D. J. Riley, W. Xiong, X. Wang, Solid State Phenomena, 145, p. 245 (2009). 3. U.S. Patent , "Method and System for Processing Stability of Semiconductor Devices," SMIC, (2006). Biographies Ron Nan received his BS in automation from Shanghai U., and MS in microelectronics from Fudan U., and is process section manager at SMIC F-8 18, Zhangjiang Rd. Pudong New Area, Shanghai , P.R.C; ph.: ext 18213; Freda Li received her MS in materials science and BS in materials science from Sichuan U., and is a process engineer wet clean and CMP at SMIC. Jey Jey Hung received his ME in chemical engineering from Taiwan U., and is an assistant director at SMIC. James M.M. Chu received his MS in system engineering from National Cheng Kung U., Taiwan, and is currently a PhD candidate at the university; he is a field application manager at FSI International, Hsinchu, Taiwan R.O.C. Jack Yuan received his BS degree in chemistry science from Lanzhou U. and Masters in material science from Shanghai U., and is an application engineer at FSI International, Hsinchu, Taiwan R.O.C. David Yang received his MS in atomospheric physics from National U., Taiwan, and is a senior application engineer at FSI International, Hsinchu, Taiwan R.O.C. Jeffery W. Butterbaugh received his PhD in chemical engineering from MIT, and is chief technologist at FSI International, Chaska, MN USA. More Solid State Technology Current Issue Articles More Solid State Technology Archives Issue Articles To access this Article, go to:

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

This Appendix discusses the main IC fabrication processes.

This Appendix discusses the main IC fabrication processes. IC Fabrication B B.1 Introduction This Appendix discusses the main IC fabrication processes. B.2 NMOS fabrication NMOS transistors are formed in a p-type substrate. The NMOS fabrication process requires

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT ION IMPLANTATION TO ADJUST NMOS THRESHOLD VOLTAGES MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology INTRODUCTION ABSTRACT NMOS processes require a variety

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 6 CMOS Fabrication Process & Design Rules guntzel@inf.ufsc.br

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications

Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications Laura Mauer, John Taddei, John Clark, Kenji Nulman Veeco Precision Surface Processing Horsham, PA 19044 lmauer@veeco.com Abstract

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

ASIC Physical Design CMOS Processes

ASIC Physical Design CMOS Processes ASIC Physical Design CMOS Processes Smith Text: Chapters 2 & 3 Weste CMOS VLSI Design Global Foundries: BiCMOS_8HP8XP_Training.pdf BiCMOS_8HP_Design_Manual.pdf Physical design process overview CMOS transistor

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone! -Spring 006 Digital Integrated Circuits Lecture 4 CMOS Manufacturing Process Design Rules EECS141 1 Good News! We are moving to 155 Donner Lab From Thursday, Feb We will be able to accommodate everyone!

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

Lam Research Corporation

Lam Research Corporation Lam Research Corporation 2012 Analyst & Investor Meeting 1 Safe Harbor Statement This presentation contains certain forward looking statements, including, our ability to execute our growth strategies,

More information

(12) Patent Application Publication (10) Pub. No.: US 2008/ A1

(12) Patent Application Publication (10) Pub. No.: US 2008/ A1 (19) United States US 2008.0060682A1 (12) Patent Application Publication (10) Pub. No.: US 2008/0060682 A1 Yeh et al. (43) Pub. Date: (54) HIGH TEMPERATURE SPM TREATMENT FORPHOTORESIST STRIPPING (75) Inventors:

More information

Microelectronic Device Fabrication I. Physics 445/545. Integration Seminar. Dec. 1 & 3, 2014

Microelectronic Device Fabrication I. Physics 445/545. Integration Seminar. Dec. 1 & 3, 2014 Microelectronic Device Fabrication I (Basic Chemistry and Physics of Semiconductor Device Fabrication) Physics 445/545 Integration Seminar Dec. 1 & 3, 2014 Chip Fabrication From bare Si wafers to fully

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2627 2631 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on CDM

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

SEMATECH Surface Preparation and Cleaning Conference 2010

SEMATECH Surface Preparation and Cleaning Conference 2010 SEMATECH Surface Preparation and Cleaning Conference 2010 Austin, Texas, USA 22-24 March 2010 ISBN: 978-1-61782-260-5 Printed from e-media with permission by: Curran Associates, Inc. 57 Morehouse Lane

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Silicon Silicon Isotropic etch process Anisotropic etch process Wet chemistry www.halbleiter.org Contents Contents List of Figures II 1 Wet chemistry 1 1.1 Etch processes..................................

More information

Simple Cubic Crystal

Simple Cubic Crystal Starting Material Simple Cubic Crystal Crystal Planes offset by d/4 Diamond lattice cell (C, Si, Ge, etc.) face atom in FCC corner atom in FCC (100) plane (110) plane (111) plane Crystal Planes/Direction

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Introduction to Cleanroom

Introduction to Cleanroom ECE 541/ME 541 Microelectronic Fabrication Techniques MW 4:00-5:15 pm, Taft Hall 204 Introduction to Cleanroom Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Semiconductor manufacture particulate

More information

Manufacturing Process

Manufacturing Process CMOS Manufacturing Process CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten SiO n+ p-well p-epi poly n-well p+ SiO p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V DD

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Christopher Eric Brannon, Jimmy Carter (TI DMOS5 CMP Manufacturing Engineering) Texas Instruments, Semiconductor

More information

Cleaning silicon wafers with an argon/nitrogen cryogenic aerosol process

Cleaning silicon wafers with an argon/nitrogen cryogenic aerosol process TECH FOCUS - GREEN & CLEAN Cleaning silicon wafers with an argon/nitrogen cryogenic aerosol process James F. Weygand, Nat Narayanswami, and Daniel J. Syverson, FSI International As IC processing technology

More information

UPDATE ON SB 14 SEMICONDUCTOR INDUSTRY ASSESSMENT

UPDATE ON SB 14 SEMICONDUCTOR INDUSTRY ASSESSMENT UPDATE ON SB 14 SEMICONDUCTOR INDUSTRY ASSESSMENT Relly Briones California Environmental Protection Agency Department of Toxic Substances Control Office of Pollution Prevention and Technology Development

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

SEMICONDUCTOR INDUSTRY EHS

SEMICONDUCTOR INDUSTRY EHS SEMICONDUCTOR INDUSTRY EHS AGENDA CURRENT & FUTURE ISSUES POINT-OF-USE (POU) DEVICE INFORMATION EXPECTATIONS FOR POU DEVICE SUPPLIERS PFC S (GLOBAL WARMING) IN APRIL, 1999, THE WORLD SEMICONDUCTOR COUNCIL

More information

Linx Consulting, Inc.

Linx Consulting, Inc. STRATEGIC COST MODEL A Collaborative Development between Linx Consulting and IC Knowledge Background Based on real world product process flows and device roadmaps, with modifications for the latest process

More information

Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure

Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure Epi Replacement and up to 30% Process Simplification in a CMOS Foundry Environment Using the BILLI Structure Martin Teague, Susan Johns, Rob Haase, Paul Jones & Peter Lister Newport Waferfab Limited, Cardiff

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Pattern Dependent Satellite Defects in Via Lithography

Pattern Dependent Satellite Defects in Via Lithography Pattern Dependent Satellite Defects in Via Lithography Chih-Chieh Yu*, Mars Yang, Elvis Yang, T. H. Yang, K. C. Chen and Chih-Yuan Lu Macronix International Co. Ltd, No. 16, Li-Hsin Rd., Science Park,

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Bradley A. Minch Mixed Analog-Digital VLSI Circuits and Systems Lab Cornell University Ithaca, NY 14853 5401 minch@ece.cornell.edu

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

IC Integrated Manufacturing Outsourcing Solution

IC Integrated Manufacturing Outsourcing Solution IC Integrated Manufacturing Outsourcing Solution Integrated One-Stop Service Mature and Low Cost Loop for IC Manufacturing Taiwan s Comprehensive Resources Fast and Easy Engagement to Our Solution Professional

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

BASICS OF MANUFACTURING INTEGRATED CIRCUITS

BASICS OF MANUFACTURING INTEGRATED CIRCUITS BASICS OF MANUFACTURING INTEGRATED CIRCUITS Opportunities for filtration exist for the manufacture of very small electronic components referred to as semiconductors. This broad category includes devices

More information

An SOI Process for Fabrication. of Solar Cells, Transistors and Electrostatic Actuators. Berkeley Sensor and Actuator Center

An SOI Process for Fabrication. of Solar Cells, Transistors and Electrostatic Actuators. Berkeley Sensor and Actuator Center An SOI Process for Fabrication of Solar Cells, Transistors and Electrostatic Actuators Colby L Bellew, Seth Hollar and K.S.J. Pister University of California at Berkeley Berkeley Sensor and Actuator Center

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Application Note. R*evolution III Remote Plasma Source: Low Particle Performance in O 2 / N 2. Photoresist Ashing PROBLEM. BACKGROUND R*evolution III

Application Note. R*evolution III Remote Plasma Source: Low Particle Performance in O 2 / N 2. Photoresist Ashing PROBLEM. BACKGROUND R*evolution III R*evolution III Remote Plasma Source: Low Particle Performance in / Photoresist Ashing PROBLEM It is critical that the remote plasma sources used in semiconductor device processing be operated in a manner

More information

TANOS Charge-Trapping Flash Memory Structures

TANOS Charge-Trapping Flash Memory Structures TANOS Charge-Trapping Flash Memory Structures A Senior Design by Spencer Pringle 5/8/15 Table of Contents Motivation Why Charge-Trapping Flash (CTF)? Charge-Trapping vs. Floating Gate Electronically-Erasable

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Process Development and Process Integration of Semiconductor Devices

Process Development and Process Integration of Semiconductor Devices Process Development and Process Integration of Semiconductor Devices Mark T. Tinker, Ph.D. Department of Electrical Engineering University of Texas at Dallas Process Development Activities Worked in Process

More information

Oxidation SMT Yau - 1

Oxidation SMT Yau - 1 Oxidation Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Describe an oxide film for semiconductor manufacturing, including its atomic structure, how it is used

More information