Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology

Size: px
Start display at page:

Download "Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology"

Transcription

1 Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology by J. Osenbach 1, S. Emerich1, L. Golick1, S. Cate 2, M. Chan3, S.W. Yoon 3, Y.J. Lin 4 & K. Wong 5, 1LSI Corporation (USA), 1110 American Parkway NE Lehigh Valley Central, Allentown, PA 18109, USA 2LSI Corporation (USA), 1320 Ridder Park Drive, San Jose, CA 95131, USA 3STATSChipPAC Ltd, 5 Yishun Street 23, Singapore STATSChipPAC Ltd, 2 Woodlands Sector 1 #01-20, Woodlands Spectrum 1, Singapore STATSChipPAC, Inc, Kato Road, Fremont, CA 94538, USA Copyright Reprinted from 2014 Electronic Components and Technology Conference (ECTC) Proceedings. The material is posted here by permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any STATS ChipPAC Ltd s products or services. Internal or personal use of this material is permitted, however, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or distribution must be obtained from the IEEE by writing to pubs-permission@ieee.org. By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

2 Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology J. Osenbach 1, S. Emerich 1, L. Golick 1, S. Cate 2, M. Chan 3, S.W. Yoon 3, Y.J. Lin 4 & K. Wong 5, 1 LSI Corporation (USA), 1110 American Parkway NE Lehigh Valley Central, Allentown, PA 18109, USA 2 LSI Corporation (USA), 1320 Ridder Park Drive, San Jose, CA 95131, USA 3 STATSChipPAC Ltd, 5 Yishun Street 23, Singapore STATSChipPAC Ltd, 2 Woodlands Sector 1 #01-20, Woodlands Spectrum 1, Singapore STATSChipPAC, Inc, Kato Road, Fremont, CA 94538, USA Abstract Traditionally fan out wafer level package technology has been associated with lower power, smaller body sizes (typically < 8mmx8mm), small body-to-die size area ratios (<2) and fine pitch BGAs (0.4mm or less). This work extends this technology to larger body sizes up to 13mm x 13mm, higher powers, > 5W, and larger body-to-die size area ratios up to It is shown that such packages can be readily manufactured in a 300mm wafer format with yields exceeding 99% and final package warpage < 75um. Further, data is presented showing that 10mm x 10mm packages with a body to die area ratio of 6.25 are compatible with moisture sensitivity level 1, and easily pass 2000 temperature cycle (- 55C to 125C air to air) and 288 hr uhast. That is to say they have reliability that is compatible with that required for all storage and communications applications. Larger package sizes, up to 13mm x 13mm, and body-to-die area ratios, > 10, have also been demonstrated. However, failures in extended temperature cycle were found in these larger packages. All of the failures were due to pre-identified package design flaws that violated well established rules. This indicates if such packages were designed with no rule violations then they would meet the reliability requirements needed for communications and storage applications. Introduction Although there have been significant challenges for silicon technology to follow Moore s law, leading some to predict its demise, the industry has been able to overcome these challenges and appears ready to continue developing solutions for the challenges at least for the foreseeable future. As such integrated circuits (ICs) continue to move towards finer feature sizes and increased functionality. This drives more die and package I/O with higherpower densities than packages designed andmanufactured in previous generation technology nodes. Further, mobile devices are driving smaller form factor ICs with renewed pressure to reducepackage thickness. The combination of these forces has driven the development of a varietyof chip scale packagesolutionsincluding wafer level packages (WLP), dual row quad flat pack packages (DRQFN), flip chip -chip scale packages (fccsp), and fan out wafer level packages (FO- WLP). FO-WLP is an emerging package technology platformthatprovides opportunities for extremely thin, small foot print, high I/O density packages with electrical performance rivaling many of the low-to mid-range fccsps and exceeding that for the large majority of lead frame wire bond package technologies [1]. FO-WLP technology has traditionally been associated with lower power, smaller body sizes (typically < 8mmx8mm), small body-to-die size ratios (<2) and fine pitch BGAs (0.4mm or less). However, recent advancements of this technology include demonstrations of side by side multi-chip module (MCM), Package-on-Package (POP), 2.5D, and 3D configurationswhich seem to indicate such limitations may not be fundamental technologybarriers, but rather manufacturing and materials challenges that are waiting to be solved. [2] To increase the breadth and type of markets that this technology can be applied to, improvements in thermal performance and larger body-to-die area ratios are required. These market segments impose requirements such as: BGA pitchesas large as 0.65mm and in some cases 0.8mm; > 5watts power dissipation; body sizes of 15mm or larger; and body-to-die size ratios of >10. Furthermore, some of these markets segments also require a minimum lifetime of 10 years. In this paper, our results on the development and qualification of an exposed die fan-out wafer level package technology with body-to-die aspect ratios of >10 and body sizes up to 13mm x 13mm are presented. Process Development and Control A schematic of the package technology developed in this work is shown in Figure 1. The package contains a 250um thick, 16mm 2 die embedded in a mold compound with 2 layers of package routing connected to 0.25mm diameter, 305SAC BGA solder balls. The die are designed into a 40nm silicon node technology. The maximum package height is 0.68mm and the number of I/O was > 200. Two sizes of packages were used for the work, i) 10mm x10mm with a BGA pitch of 0.5mm ; and ii) 13mm x 13mm with a BGA pitch of 0.65mm. Thus, the body-to-die area ratios were 6.25 and 10.5 respectively. The same die was used for both packages; however, the package routing was different. The large body-to-die ratio tends to drive to higher package warpage making it difficult to meet JEDEC and IPC requirements. [3] Figure 1: Schematic of an exposed die FO-WLP Although back side mold compound on the die helps to mitigate the difficulty in meeting the warpage specifications, the 5W power dissipation requirement for these market /14/$ IEEE Electronic Components & Technology Conference

3 applications prevents, as discussed next, the use of this warpage mitigation approach from being implemented. The thermal simulation done for both package sizes using the design constraints and thermal boundary conditions of the market segment this device is targeted for indicate a mold compound thickness greater than 35um will prevent the package from meeting the 5W requirements, Figure 2. In this simulation, the system configuration and restriction on air flow were modeled with 3-D finite element model, 3w/mK TIM material, a die size 4mm x 4mm in a 10mm x 10mm package.after assessment of variation in die performance at all silicon and FO-WLP process corners, as well as the system corners, it was concluded that an exposed die format was needed to meet the thermal requirements. Figure 2: Dependence of max power dissipation on mold thickness on back side of die in a 10mm x 10mm FO-WLP In this work the FO-WLP were made in 12 diameter format. An overview of the process flow is given in Figure 3. Known good die are picked from the diced wafer and placed active side face down onto a carrier array at predefined x, y positions. The gap between each die is used to create the FOWLP routing and BGA connections. There were approximately 40% fewer die and thus packages for the 13mm x 13mm package than for the 10mm x 10mm package, driven completely by area considerations. The carrier wafer has a commercially available adhesive film on it which is used to hold the die in place at pick and place as well as during the molding process. After the carrier wafer is fully populated with die, the entire carrier wafer is overmolded with a commercially available mold compound. Following mold compound cure, the carrier is removed from the composite wafer, die plus mold compound, exposing the active side and bond pads for further processing. The package routing is then completedusing standard spin deposition of interlevel dielectrics, lithography, and Cu plating technology. First, dielectric layer 1 is spun on the composite wafer and patterned, opening up the bond pad regions of the die. Cu is deposited and patterned, followed by a second layer of dielectric and metal. The final passivation layer is then deposited and patterned. Note the final passivation layer in the FO-WLP is equivalent to a solder mask in a standard substrate based technology. The wafer is then fluxed after which BGA balls are placed on each pad and reflowed. Because the product thermal requirement can only be met with an exposed die back side configuration, the back side mold compound is then removed.the fully processed carrier wafer, which is now a wafer array of packages, is then diced creating individual packages. The packages are then tested and packed into dry bags ready for shipment. Figure 4 shows a cross section of a 13x13mm FO-WLP test vehicle. The die, mold compound (EMC), BGA, Cu RDL layers and dielectric RDL layers are identified in this figure. As shown all interfaces are intact with no signs of delamination, separation or cracking of the different materials or interfaces. Figure 5 is a micrograph of the BGA side of both the 10mm x 10mm and 13mm x 13mm packages. Also show is the approximate position and size of the die. Figure 3: Overview of process flow for the devices used in this work Figure 4: Cross section of a 13x13mm FO-WLP test vehicle Figure 5: Micrograph of 10mm x10mm and 13mm x 13mm packages; outline of approximate position of the die are shown as the red dotted box. 953

4 The body-to-die area ratios, , used in this development along with the exposed back side construction and 2 layers of routing were substantially outside of the established design and process window for FO-WLP. As such significant development was needed to establish a process witha well-controlled-,reproducible-, and predictabledie shift and composite wafer warpage, as these two physical attributes largely influence interconnect patterning and wafer handling both of which ultimately control the overall package yield. Initial builds made with the previously established processes and materials led to package yields that were less than 90%, clearly not an acceptable yield for low cost, high volume manufacturing. Subsequent process and materials development and optimization led to yields approaching or exceeding 99%. It was thus concluded that this type of package technology is capable of competing on a yield basis with well-established traditional wire bond and flip chip package technology even for the packages developed in this work which have somewhat extreme body-to-die ratios in an exposed die configuration. In addition, the yield as measured by electrical testing, control of the final package dimensions (X, Y and Z) and warpage is critical. Figure 6is a plot of the dimensions (X, Y and Z) and warpage of the 10mm x 10mm packages.similar behavior was observed for the 13mm x 13mm packages, however, the number of 13mm x 13mm FO-wafers and packages made was significantly smaller than made the number of 10mm x 10mm FO-wafer and packages. Thus, conclusions about dimensional (X, Y and Z) and warpage control are somewhat less well-founded in data for the 13mm x 13mm package than for the 10mm x 10mm package. As a result we restricted this discussion to the 10mm x10mm package. As shown, for the 10mm x 10mm packages, the package dimensions and wapageare well controlled with warpage being < 50um on average with a three sigma maximum of ~75um which is well below the JEDEC and IPC requirements for this package and BGA size. Figure 6: Median and standard deviation of X, Y, and Z dimensional control and of final package warpage at room temperature for the 10mm x 10mm package Reliability The reliability work is summarized in Table 1. All devices were tested then subjected to Moisture Sensitivity Level (MSL)exposure followed by 3x-260C reflow and re-tested. The 10mm x 10mm packages were subjected to MSL1 and the 13mm x 13mm to MSL3. The 10mm x 10mm packages used in cell 1 were taken from twodifferent FO-wafer builds whereas those in cell 2 were taken from 4 wafer buildsmade some 3-4 months after those in cell 1. All 13mm x 13mm devices were taken from one wafer build.following MSL/reflow exposure, devices from each package type were then subjected to either temperature cycle JEDEC condition B (-55C to + 125C air to air) up to 2000 cycles or to unbiased Hast (uhast- 130C/85%RH) up to 288hrs [4]. Periodically the packages were removed from the stress tests and electrically characterized. All electrically good devices were then placed back into the stress test chamber for additional aging. The temperature cycling and uhast testing duration wasextended with the intent of insuring the packages were not close to end of life after exposure to the more conventional JEDEC tests. The thesis being, exposure to extended temperature cycles and/or time in uhast conditionswould either show where the ultimate failure lifetime would be or if no failures were foundindicate the packages are significantly more robust than required for use in high reliability environments with no failures. Table 1: Summary of qualification testing done for 10mm x 10mm and 13mm x 13mm packages(10x10mm device has 0.5mm BGA pitch, 13x13mm device has 0.65mm BGA pitch) As shown, failures were not observed in either cell in any of the stress tests independent of duration for the10mm x 10mm packages. Given that the devices used for this test were taken from a total of 6 wafer builds over a multiple month time period, the sample sizes used in each test and the fact that TCB and uhast stress tests were extended 2x and 3x respectively beyond standard JEDEC recomendations, it is conclude that such packages are very reliable and thus compatible with all storage and communications applications. Although the 13mm x 13mm package easily passed MSL3 and extended uhast, ~2% (1/47) of the devices failed after exposure to 1000 temperature cycles, and ~ 70% (32/47) of the devices failed after 2000 temperature cycles. The failure site and mechanism was similar for all 32 failures. Figure 7shows two micrographs of the failure site. The failure is a result of metal fatigue of a metal 1 trace near the die edge at the die to mold compound interface. This particular trace is also under the BGA and BGA pad in metal 2. Placement of the BGA in this location is and was a design rule violation which was identified in the design stage. It was flagged as a potential weakness in the design that could be susceptible to temperature cycling induced failure. In additionthe RDL stack used in this work was designed for solder balls compatible with BGA pitch < 0.5mm, note for 0.65mm pitch as was used for the 13mm x 13mm package used here. Given larger diameter BGA solder ballsdrive higher localized stresses in lower level structures, this was also flagged as a potential reliability issues in the design stage.however, because there was a need to make the 13mm x 13mm FO-WLP pin to pin compatible with a 2 layer flip chip version of the same device, the design rule 954

5 violationsand potential reliability risks/failure modes were noted, but the design proceeded with no changes to accommodate the rule violations. Thus it was understood at the outset of the program that the 13mm x 13mm package could be susceptible to failure in temperature cycling and/or other qualification stress test. Given all temperature failures were related to the design violations, it is concluded that FO- WLP designs with body-to die ratios<10.5, that follow the existing design rules, have a high probability of meeting and, in fact, exceeding the JEDEC temperature cycling requirements. Figure 7: Micrographs representative of all 13mm x 13mm TCB induced failures. Conclusions: Traditional fan out wafer level package technology has been associated with lower power, smaller body sizes (typically < 8mmx8mm), small body-to-die size area ratios (<2) and fine pitch BGAs (0.4mm or less) package designs. The work presented here extends this technology to larger body sizes, up to 13mm x 13mm, higher powers, > 5W, and larger body-to-die size area ratios,up to It is shown that such packages can be readily manufactured in a 300mm wafer format with yields exceeding 99% and final package warpage < 75um. Further, data is presented showing that 10mm x 10mm packages with a body to die area ratio of 6.25 are compatible with moisture sensitivity level 1, easily pass 2000 temperature cycle (-55C to 125C air to air) and 288 hr uhast. That is to say they have reliability that is compatible with or exceeds that required for all storage and communications applications. Larger package sizes, up to 13mm x 13mm, with body-to-die area ratios in excess of 10, have also been demonstrated, however, failures in extended temperature cycle were found. All of the failures were due to pre-identified package design flaws that violated well established rules. This indicates if such packages were designed with no rule violations then they would meet the reliability requirements needed for communications and storage applications Acknowledgments The authors would like to express their gratitude to all the people who were involved in this program. Particularly, thanks to Jar Ray Estela from the STATS ChipPAC Singapore Test team and Law Siew Chin from the STATS ChipPAC Singapore Process Engineering team for their support and contributions. References 1. M. Brunnbauer, et al., Embedded Wafer Level Ball Grid Array (ewlb), Proceedings of 8 th Electronic Packaging Technology Conference, 2009, Singapore. 2. Seung Wook Yoon, Patrick Tang, Roger Emigh, Yaojian Lin, Pandi C. Marimuthu, and Raj Pendse, Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solutions, Proceedigns of 63 rd Electronic Components and Packaging Technology, 2013, Las Vegas 3. Peter Chen, M. Bachman, John Osenbach, Feng Kao, Erik So, Eason Chen, Jun Min Liao, and Jui Tsung, 2L OMEDFC Development for Low Cost & High Performance Application, Proceedings of 15 th Electronic Packaging Technology Conference, 2013, Singapore 4.JEDEC Standards JESD51-2 Integrated Circuits Thermal Test Method Environment Conditions Natural Convection (December 1995) and JESD51-9 Test Boards for Area Array Surface Mount Package Thermal Measurements (July 2000). 955

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution by Seung Wook Yoon,*Patrick Tang, **Roger Emigh, Yaojian Lin, Pandi C. Marimuthu, and *Raj Pendse STATS

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages by Seng Guan Chow, Yaojian Lin, Bernard Adams * and Seung Wook Yoon** STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology by Kang Chen, Jose Alvin Caparas, Linda Chua, Yaojian Lin and *Seung Wook Yoon STATS ChipPAC Ltd. 5 Yishun Street

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

Development of Super Thin TSV PoP

Development of Super Thin TSV PoP Development of Super Thin TSV PoP by Seung Wook Yoon, *Kazuo Ishibashi, Shariff Dzafir, Meenakshi Prashant, Pandi Chelvam Marimuthu and **Flynn Carson STATS ChipPAC Ltd. 5 Yishu n Street 23, Singapore

More information

The Development of a Novel Stacked Package: Package in Package

The Development of a Novel Stacked Package: Package in Package The Development of a Novel Stacked Package: Package in Package Abstract Stacked die Chip Scale Packages (CSPs) or Fine-pitch BGAs (FBGAs) have been readily adopted and integrated in many handheld products,

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages by Ming-Che Hsieh STATS ChipPAC Taiwan Co. Ltd. Copyright 2013. Reprinted from 2013 International Microsystems,

More information

Development of Next-Generation ewlb Packaging

Development of Next-Generation ewlb Packaging Development of Next-Generation ewlb Packaging by Seung Wook Yoon, Yaojian Lin, Pandi Chelvam Marimuthu and *Rajendra Pendse STATS ChipPAC Singapore *Fremont, California USA Ganesh V. P, Andreas Bahr and

More information

Next Generation ewlb (embedded Wafer Level BGA) Packaging

Next Generation ewlb (embedded Wafer Level BGA) Packaging Next Generation ewlb (embedded Wafer Level BGA) Packaging by Meenakshi Prashant, Kai Liu, Seung Wook Yoon Yonggang Jin, Xavier Baraton, S. W. Yoon*, Yaojian Lin*, Pandi C. Marimuthu*, V. P. Ganesh**, Thorsten

More information

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions by Seung Wook Yoon and Meenakshi Padmanathan STATS ChipPAC Ltd. Seungwook.yoon@statschippac.com Andreas Bahr Infineon

More information

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

3D Integrated ewlb /FO-WLP Technology for PoP & SiP 3D Integrated ewlb /FO-WLP Technology for PoP & SiP by Yaojian Lin, Chen Kang, Linda Chua, Won Kyung Choi and *Seung Wook Yoon STATS ChipPAC Pte Ltd. 5 Yishun Street 23, Singapore 768442 *STATS ChipPAC

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution by Jacinta Aman Lim and Vinayak Pandey, STATS ChipPAC, Inc. Aung Kyaw Oo, Andy Yong, STATS ChipPAC Pte. Ltd. Originally published

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING Amy Palesko SavanSys Solutions LLC Austin, TX, USA amyp@savansys.com ABSTRACT Although interest in wafer level packaging has

More information

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications June 12 to 15, 2011 San Diego, CA A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications Mike Slessor Rick Marshall (MicroProbe, Inc.) Vertical MEMS for Pre-Bump Probe Introduction:

More information

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology Jacinta Aman Lim, Vinayak Pandey* STATS ChipPAC Inc. 46429 Landing Parkway, Fremont, CA 94538, USA *STATS ChipPAC

More information

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012 EPRC 12 Project Proposal 3D Embedded WLP 15 th August 2012 Motivation Factors driving IC market Higher density, lower cost, high yield Fan-out WLP/eWLP advantages Small footprint, low profile Low cost,

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

TechARENA Packaging Exhibitor Session OCT/08, 2014 New WLP-Technology-Fusion Concept Steffen Kröhnert, Director of Technology, NANIUM S.A. V1.

TechARENA Packaging Exhibitor Session OCT/08, 2014 New WLP-Technology-Fusion Concept Steffen Kröhnert, Director of Technology, NANIUM S.A. V1. TechARENA Packaging Exhibitor Session OCT/08, 2014 New WLP-Technology-Fusion Concept Steffen Kröhnert, Director of Technology, NANIUM S.A. V1.0 EXT Notification NANIUM is highly committed to IP protection.

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations

ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations R. Wayne Johnson Alumni Professor 334-844 844-1880 johnson@eng.auburn. @eng.auburn.eduedu Outline System Design Issues

More information

ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations

ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations R. Wayne Johnson Alumni Professor 334-844-1880 johnson@eng.auburn. @eng.auburn.eduedu Outline System Design Issues Package

More information

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS B. Rogers, M. Melgo, M. Almonte, S. Jayaraman, C. Scanlan, and T. Olson Deca Technologies, Inc 7855 S. River Parkway,

More information

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview Revision 0 2006 Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

RF System in Packages using Integrated Passive Devices

RF System in Packages using Integrated Passive Devices RF System in Packages using Integrated Passive Devices by Kai Liu, YongTaek Lee, HyunTai Kim, Gwang Kim, and Billy Ahn STATS ChipPAC 1711 W. Greentree Drive, Suite #117, Tempe, AZ 85284, USA Tel: 480-222-1722

More information

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Advanced Packaging Business Development Asia Introduction to Fan-Out WLP Introduction World of mobile gadgetry continues to rapidly evolve

More information

Chapter 14. Designing with FineLine BGA Packages

Chapter 14. Designing with FineLine BGA Packages Chapter 14. Designing with FineLine BGA Packages S53009-1.3 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices

More information

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Raghunandan Chaware, Ganesh Hariharan, Jeff Lin, Inderjit Singh, Glenn O Rourke, Kenny Ng, S. Y. Pai Xilinx Inc.

More information

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017 Amkor Technology, Inc. White Paper Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017 Abstract Expanding its ChipArray Ball Grid Array (CABGA) package form factor miniaturization

More information

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly Selection and Parameter Optimization for Reliable TMV Pop Assembly Brian Roggeman, David Vicari Universal Instruments Corp. Binghamton, NY, USA Roggeman@uic.com Martin Anselm, Ph.D. - S09_02.doc Lee Smith,

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS As originally published in the SMTA Proceedings. EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS Neil Poole, Ph.D., Elvira Vasquez, and Brian J. Toleno, Ph.D. Henkel Electronic

More information

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd Advancements In Packaging Technology Driven By Global Market Return M. G. Todd Electronic Materials, Henkel Corporation, Irvine, California 92618, USA Recently, the focus of attention in the IC packaging

More information

PRODUCT/PROCESS CHANGE NOTICE (PCN)

PRODUCT/PROCESS CHANGE NOTICE (PCN) 3545 North First Street San Jose, CA 95134 USA PRODUCT/PROCESS CHANGE NOTICE (PCN) PCN Number: 06-07 Date Issued: December 13, 2006 Product(s) Affected: Products using TQFN/TDFN type packages Manufacturing

More information

Innovative Advanced Wafer Level Packaging with Smart Manufacturing Solutions YOON Seung Wook, Ph.D MBA

Innovative Advanced Wafer Level Packaging with Smart Manufacturing Solutions YOON Seung Wook, Ph.D MBA Innovative Advanced Wafer Level Packaging with Smart Manufacturing Solutions YOON Seung Wook, Ph.D MBA Director, STATS ChipPAC Outline 1 2 3 Introduction of Smart Manufacturing & Wafer Level Packaging

More information

Panel Discussion: Advanced Packaging

Panel Discussion: Advanced Packaging Dr. Steve Bezuk Senior Director IC Packaging Engineering Qualcomm Technologies, Inc. Panel Discussion: Advanced Packaging PAGE 1 Technical Challenges of Packaging (Mobile Focus) Materials Die materials

More information

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga ) Henry M.W. Sze, Marc Papageorge ASAT Limited 14th Floor, QPL Industrial Building, 138 Texaco Road, Tseun Wan, Hong

More information

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages Designing With High-Density BGA Packages for Altera Devices December 2007, ver. 5.1 Application Note 114 Introduction As programmable logic devices (PLDs) increase in density and I/O pins, the demand for

More information

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C EPRC 12 Project Proposal Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C 15 th August 2012 Page 1 Motivation Increased requirements of high power semiconductor device

More information

IPC -7095C Design and Assembly Process Implementation For BGAs

IPC -7095C Design and Assembly Process Implementation For BGAs IPC -7095C Design and Assembly Process Implementation For BGAs 1 Overview With the introduction of BGA components, things had to change: New design New assembly process New repair process New inspection

More information

Nanium Overview. Company Presentation

Nanium Overview. Company Presentation Nanium Overview Company Presentation Nanium Overview Our name and logo nano prefix of Greek origin referring to small objects ium suffix of Latin origin that includes the formation of scientific terms

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Bhavani P. Dewan-Sandur, Abhijit Kaisare and Dereje Agonafer The University of Texas at Arlington, Box 19018, TX

More information

Qualification of Thin Form Factor PWBs for Handset Assembly

Qualification of Thin Form Factor PWBs for Handset Assembly Qualification of Thin Form Factor PWBs for Handset Assembly Mumtaz Y. Bora Kyocera Wireless Corporation San Diego, Ca. 92121 mbora@kyocera-wreless.com Abstract: The handheld wireless product market place

More information

INEMI Packaging Substrate Workshop, Toyama, Japan, 2014 Challenges of Organic Substrates from EMS Perspective Weifeng Liu, Ph. D.

INEMI Packaging Substrate Workshop, Toyama, Japan, 2014 Challenges of Organic Substrates from EMS Perspective Weifeng Liu, Ph. D. INEMI Packaging Substrate Workshop, Toyama, Japan, 2014 Challenges of Organic Substrates from EMS Perspective Weifeng Liu, Ph. D. Date (4/10/2014) AEG - WW Microelectronics and Packaging OUTLINE Overview

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

System in Package: Identified Technology Needs from the 2004 inemi Roadmap System in Package: Identified Technology Needs from the 2004 inemi Roadmap James Mark Bird Amkor Technology Inc System in package (SiP) technology has grown significantly in the past several years. It

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Warpage Tuning Study for Multi-chip Last Fan Out Wafer Level Package

Warpage Tuning Study for Multi-chip Last Fan Out Wafer Level Package 2017 IEEE 67th Electronic Components and Technology Conference Warpage Tuning Study for Multi-chip Last Fan Out Wafer Level Package Hung-Yuan Li, Allen Chen, Sam Peng, George Pan, and Stephen Chen Siliconware

More information

PoP/CSP Warpage Evaluation and Viscoelastic Modeling

PoP/CSP Warpage Evaluation and Viscoelastic Modeling PoP/CSP Warpage Evaluation and Viscoelastic Modeling Wei Lin, Min Woo Lee Amkor Technology 19 S Price Rd, Chandler, AZ 85286 wlin@amkor.com Abstract The purpose of this paper was to evaluate the critical

More information

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong White Paper Quality and Reliability Challenges for Package on Package By Craig Hillman and Randy Kong Background Semiconductor technology advances have been fulfilling Moore s law for many decades. However,

More information

Board Level Reliability of Automotive ewlb (embedded wafer level BGA) FOWLP

Board Level Reliability of Automotive ewlb (embedded wafer level BGA) FOWLP Board Level Reliability of Automotive ewlb (embedded wafer level BGA) FOWLP by Lin Yaojian, Bernard Adams*, Roberto Antonicelli**, Luc Petit***, Daniel Yap****, Kim Sing Wong****, Seung Wook Yoon*****

More information

Die Thickness Effects in RF Front-End Module Stack-Die Assemblies

Die Thickness Effects in RF Front-End Module Stack-Die Assemblies Die Thickness Effects in RF Front-End Module Stack-Die Assemblies By Kai Liu*, YongTaek Lee, HyunTai Kim, Gwang Kim, Robert Frye**, Hlaing Ma Phoo Pwint***, and Billy Ahn * STATS ChipPAC, Inc. 1711 West

More information

System-in-Package Research within the IeMRC

System-in-Package Research within the IeMRC LANCASTER U N I V E R S I T Y Centre for Microsystems Engineering Faculty of Applied Sciences System-in-Package Research within the IeMRC Prof. Andrew Richardson (Lancaster University) Prof. Chris Bailey

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY Steven Perng, Tae-Kyu Lee, and Cherif Guirguis Cisco Systems, Inc. San Jose, CA, USA sperng@cisco.com Edward S. Ibe Zymet, Inc. East Hanover,

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

Assembly Reliability of TSOP/DFN PoP Stack Package

Assembly Reliability of TSOP/DFN PoP Stack Package As originally published in the IPC APEX EXPO Proceedings. Assembly Reliability of TSOP/DFN PoP Stack Package Reza Ghaffarian, Ph.D. Jet Propulsion Laboratory, California Institute of Technology Pasadena,

More information

Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package

Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package 1 Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package Yong Han, Boon Long Lau, Boo Yang Jung, Xiaowu Zhang, Senior Member, IEEE Abstract As the embedded wafer-level packaging

More information

14. Designing with FineLine BGA Packages

14. Designing with FineLine BGA Packages 14. Designing with FineLine BGA Packages S51014-1.0 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices (PLDs)

More information

EVALUATION OF HIGH RELIABILITY REWORKABLE EDGE BOND ADHESIVES FOR BGA APPLICATIONS

EVALUATION OF HIGH RELIABILITY REWORKABLE EDGE BOND ADHESIVES FOR BGA APPLICATIONS As originally published in the SMTA Proceedings. EVALUATION OF HIGH RELIABILITY REWORKABLE EDGE BOND ADHESIVES FOR BGA APPLICATIONS Fei Xie, Ph.D., Han Wu, Daniel F. Baldwin, Ph.D., Swapan Bhattacharya,

More information

WorkShop Audace. INSA ROUEN 8 juin 2012

WorkShop Audace. INSA ROUEN 8 juin 2012 WorkShop Audace INSA ROUEN 8 juin 2012 Global Standards for the Microelectronics Industry JEDEC standards for product level qualification Christian Gautier Content JEDEC overview Environmental reliability

More information

Solder joint reliability of cavity-down plastic ball grid array assemblies

Solder joint reliability of cavity-down plastic ball grid array assemblies cavity-down plastic ball grid array S.-W. Ricky Lee Department of Mechanical Engineering, The Hong Kong University of Science and, Kowloon, Hong Kong John H. Lau Express Packaging Systems, Inc., Palo Alto,

More information

Accurate Predictions of Flip Chip BGA Warpage

Accurate Predictions of Flip Chip BGA Warpage Accurate Predictions of Flip Chip BGA Warpage Yuan Li Altera Corporation 11 Innovation Dr, M/S 422 San Jose, CA 95134 ysli@altera.com, (48)544-758 Abstract Organic flip chip BGA has been quickly adopted

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

Next Gen Packaging & Integration Panel

Next Gen Packaging & Integration Panel Next Gen Packaging & Integration Panel ECTC 2012 Daniel Tracy, Sr. Director Industry Research & Statistics SEMI May 29, 2012 Packaging Supply Chain Market Trends Material Needs and Opportunities Market

More information

Increasing challenges for size and cost reduction,

Increasing challenges for size and cost reduction, Packageon-Package: The Story Behind This Industry Hit Package-onpackage (PoP) technology is rapidly evolving to keep pace with the demand for faster, higherdensity devices in smaller, thinner stacks. As

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration 2017 IEEE 67th Electronic Components and Technology Conference First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan

More information

REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE

REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE Tae-Kyu Lee, Ph.D. Portland State University Portland, OR, USA taeklee@pdx.edu

More information

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test 1,2 Tan Cai

More information

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H.

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H. Page 1 of 9 Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* The Authors S.-W. Lee, J.H. Lau** S.-W. Lee, Center for Advanced Engineering

More information

Thales vision & needs in advanced packaging for high end applications

Thales vision & needs in advanced packaging for high end applications Thales vision & needs in advanced packaging for high end applications M. Brizoux, A. Lecavelier Thales Global Services / Group Industry Chemnitzer Seminar June 23 th -24 th, 2015 Fraunhofer ENAS - Packaging

More information

Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project

Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project Statement of Work (SOW) inemi Packaging TIG SiP Module Moldability Project Version #1.0 Date: April 22, 2016 Project Leader: Billy Ahn, STATS ChipPAC Co-Project Leader: Anthony Yang, Moldex3D inemi Staff:

More information

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau*

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau* Page 1 of 9 Design for Plastic Ball Grid Array Solder Joint Reliability The Authors S.-W. R. Lee, J. H. Lau* S.-W. R. Lee, Department of Mechanical Engineering, The Hong Kong University of Science and

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

JOINT INDUSTRY STANDARD

JOINT INDUSTRY STANDARD JOINT INDUSTRY STANDARD AUGUST 1999 Semiconductor Design Standard for Flip Chip Applications ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Semiconductor Design Standard for Flip Chip Applications About

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report Product Qualification MASW-007921 2mm 8-Lead Plastic Package QTR-0148 M/A-COM Technology Solutions Inc. 100 Chelmsford Street Lowell, MA 01851 Tel: (978) 656-2500 Fax: (978)

More information

S/C Packaging Assembly Challenges Using Organic Substrate Technology

S/C Packaging Assembly Challenges Using Organic Substrate Technology S/C Packaging Assembly Challenges Using Organic Substrate Technology Presented by Bernd Appelt ASE Group Nov. 17, 2009 Overview The Packaging Challenge Chip Substrate Interactions Stiffeners for FC-BGA

More information

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION Frank Grano, Felix Bruno Huntsville, AL Dana Korf, Eamon O Keeffe San Jose, CA Cheryl Kelley Salem, NH Joint Paper by Sanmina-SCI Corporation EMS, GTS

More information

Fan-Out Packaging Technologies and Markets Jérôme Azémar

Fan-Out Packaging Technologies and Markets Jérôme Azémar Fan-Out Packaging Technologies and Markets Jérôme Azémar Senior Market and Technology Analyst at Yole Développement Outline Advanced Packaging Platforms & Market drivers Fan-Out Packaging Principle & Definition

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Recent Trends of Package Warpage and Measurement Metrologies (inemi Warpage Characterization Project Phase 3)

Recent Trends of Package Warpage and Measurement Metrologies (inemi Warpage Characterization Project Phase 3) Recent Trends of Package Warpage and Measurement Metrologies (inemi Warpage Characterization Project Phase 3) Wei Keat Loh 1, Ron Kulterman 2, Haley Fu 3, Masahiro Tsuriya 3 1 Intel Technology Sdn. Bhd.

More information

room and cold readouts were performed every 250 cycles. Failure data and Weibull plots were generated. Typically, the test vehicles were subjected to

room and cold readouts were performed every 250 cycles. Failure data and Weibull plots were generated. Typically, the test vehicles were subjected to SOLDER JOINT RELIABILITY ASSESMENT OF Sn-Ag-Cu BGA COMPONENTS ATTACHED WITH EUTECTIC Pb-Sn SOLDER Fay Hua 1, Raiyo Aspandiar 2, Cameron Anderson 3, Greg Clemons 3, Chee-key Chung 4, Mustapha Faizul 4 Intel

More information

Mixed Pitch BGA (mpbga) Packaging Development for High Bandwidth-High Speed Networking Devices

Mixed Pitch BGA (mpbga) Packaging Development for High Bandwidth-High Speed Networking Devices Mixed Pitch BGA (mpbga) Packaging Development for High Bandwidth-High Speed Networking Devices by John Savic*, Mohan Nagar*, Weidong Xie*, Mudasir Ahmad*, David Senk*, Anurag Bansal* *Cisco Systems Nokibul

More information

Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste

Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste Amy Palesko Lujan SavanSys Solutions LLC 10409 Peonia Court Austin,

More information

Design for Flip-Chip and Chip-Size Package Technology

Design for Flip-Chip and Chip-Size Package Technology Design for Flip-Chip and Chip-Size Package Technology Vern Solberg Solberg Technology Consulting Madison, Wisconsin Abstract As new generations of electronic products emerge they often surpass the capability

More information

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Bhavesh Varia 1, Xuejun Fan 1, 2, Qiang Han 2 1 Department of Mechanical Engineering Lamar

More information