450mm Transition Update

Size: px
Start display at page:

Download "450mm Transition Update"

Transcription

1 SEMICON Japan 2010 Accelerating Manufacturing Productivity 450mm Transition Update SEMICON Japan December 1, 2010 Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 Accelerating Manufacturing Productivity Opening Remarks Scott Kramer Vice President of Manufacturing Technology Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

3 Accelerating Manufacturing Productivity Briefing Agenda and Program Overview Tom Jefferson ISMI 450mm Program Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

4 Meeting Agenda 450mm Background, Landscape and ISMI 450mm Program Overview 450mm Transition Current Status Silicon Equipment Factory Infrastructure Summary and Discussion 1 December

5 Coordinating Industry Convergence towards 450mm Equipment readiness Equipment prototypes Equipment Demonstrations Test Wafer Generation Test plans Metrology & process equipment development 2007 Global Equipment Guidelines EHS Guidelines 2009 Requirements Guidelines Early design Mechanical Wafer Bank Single Crystal Wafer Bank Equipment Performance Metrics (EPMs) Interoperability Test Bed (ITB) Early prototypes 2008 Technology intercept node defined 25 wafer FOUP Carrier & loadport interoperability 1 December

6 450mm: Global Activity Funding for ISMI 450mm Program Announced mm Standards initiated - most finished by European Commission Funding for 450mm development mm cleanroom announcement 2008 ITG-J (Interoperability Test Group Japan) 450mm wafer handling evaluations 1 December

7 450mm Supplier Engagement ~3X increase in 16 months # Companies Engaged with ISMI 450mm Program 5 0 Jul'09 Oct'09 Dec'09 Jul'10 Dec'10 ISMI thanks all suppliers who have helped to realize 450mm progress to date. * Engaged = providing equipment, materials, or services to ISMI 450mm Program 1 December

8 ISMI 450mm Program Mission and Organization ISMI s 450mm mission statement Enable a cost-effective 450mm transition through coordination and development of infrastructure, guidance, and industry readiness 2010 ISMI 450mm organization 450mm transition program Supplier engagement Factory integration readiness Test wafer operations Starting materials Vacuum platform development Equipment test methods and metrics 1 December

9 Cost Effective Transition Examples 300mm FI Prelim Stds Avail Approved FI Stds Published mm ISMI s Test Bed helps enable a 60% reduction in time to Standards v. 300mm Sintered and Hybrid wafers reduce early development costs 450mm Wafer Bank Loans 600 Integrating metrology modules onto existing EFEMs reduced handling costs 50% 1 December Number of Wafers Mar-08 Apr-08 May-08 Jun-08 Jul-08 Aug-08 Sep-08 Oct-08 Nov-08 Dec-08 Jan-09 # of Wafers # Wafers Loaned Feb-09 Mar-09 Apr-09 May-09 Jun-09 Jul-09 Aug-09 Sep-09 Oct-09 Nov-09 Dec-09 Jan-10 Feb-10 Mar-10 Apr-10 May-10 Jun-10 Jul-10 Aug-10 Sep-10 Oct-10 Nov-10 ISMI s Wafer Bank has loaned >550 wafers at no cost to suppliers

10 ISMI 450mm Operations Increasing Expansion and transition of ISMI to CNSE/Albany in 2011 $20M from New York accelerates ISMI s 450mm program Increased supply of 450mm silicon Increased equipment to generate additional 450mm test wafers Factory infrastructure to support increased operational scope ISMI/CNSE Transition Announcement 10/ December

11 Accelerating Manufacturing Productivity Silicon Readiness Update Mike Goldstein Starting Materials Project Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

12 mm Silicon Wafers Achievements The major silicon suppliers are manufacturing developmental test wafers, improving the wafer quality Improved hybrid wafers have been developed. Supplier benchmarking has been completed using wafer geometry inspection tools (Kuroda and Kobelco), LLS (NanoPhotonics), metal contamination (Balasz Lab), and SIRD (Jena Wave) SEMI developmental silicon wafer spec M76 has been published Wafer shipping methodology is in development. MAC specification E159 approved 300mm single crystal Unidirectional cast poly Nikko concentric hybrid wafer 1 December

13 450mm Wafers Quality Suppliers are continuously improving the wafer quality, for some parameters already achieving the specification requirements: Polishing process have been improved. Scratches have been eliminated. Good achievements on SORI, GBIR and SFQR. Particle levels have been reduced dramatically. Suppliers are able to manufacture wafers with LLS ( 90nm*) of per cm 2 and are working to improve wafer shipping. (a 10x improvement in ~6month). Surface metals levels requirements have been achieved by some suppliers The crystal quality of 450mm wafers is in better shape than the 300mm wafers were at the same development stage. * Note: (Test wafers requirement is for LLS size 45nm, however inspection tools for these particle size is still in development) 1 December

14 LLS Trends Nanophotonics measurements 1 December

15 Surface Metals Contamination Balasz Analytical Services measurements 1 December

16 SFQR Kuroda Precision Industries measurements 1 December

17 Wafer Geometry Kuroda Precision Industries measurements SORI 12.1um GBIR 0.686um ERO - Start m Cross PV 0.540um 1 December

18 Wafer Geometry Kobelco Measurements 1 December

19 Edge Shape Kobelco Measurements 1 December

20 SIRD Evaluation Jena Wave measurements SIRD is a photoelastic measurement which creates a full wafer stress image and reveals local stress related defects. Frozen-in stress from crystal pulling and cooling Local stress from abrasive manufacturing and laser mark Crystal voids and BMD stress monopoles Defects created by handling and transportation notch stress field SIRIS45 - Scanning InfraRed Inspection System edge defects 1 December

21 450mm vs. 300mm Stress Evaluation Jena Wave measurements 450mm Wafer (2009) Early 300mm DSP wafer (1999) 300mm State-of-the-art Wafer Shear stress [Pa] Lorentzian Fit 1 December

22 SEMI Specs Silicon wafers: M76: Specification for developmental 450mm diameter polished single crystal silicon wafers Three different kind of wafers have been defined to assist manufacturers in choosing the most cost effective wafers for a given application: Particle monitors Lithography monitors Other monitors for general applications M74: Specifications for 450 mm diameter mechanical handling polished wafer Wafer carriers: E158: Mechanical Specification for Fab Wafer Carrier Used to Transport and Store 450mm Wafers (450 FOUP) and Kinematic Coupling E159: Mechanical Specification for Multi Application Carrier (MAC) Used to Transport and Ship 450mm Wafers We would like to thank the SEMI standards members for their contribution 1 December

23 Summary Silicon supply line is in good shape, with some suppliers able to achieve already test wafer quality, with the exception of LLS requirements ISMI starting materials working group ongoing activities include: Support ISMI process test wafer generation activities Benchmark silicon suppliers state of the art Work with suppliers to improve wafer quality Engage with wafer inspection OEMs Work with SEMI silicon wafer committee to generate 450mm prime wafer spec (M1 revision) We plan to continue the loan program. In 2011 we will have a very significant increase in the number of developmental test wafers available. 1 December

24 Accelerating Manufacturing Productivity 450mm Equipment Readiness Tom Abell Supplier Engagement Project Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

25 ISMI Equipment Development and Demonstration Strategy Initial Wafers + Initial toolset Basic Test Wafers Equipment Performance Metrics EHS Guidelines Global Equipment Requirements Equipment Development Better Wafers + Larger Equipment Set Advanced Test Wafers -Higher quality - Higher quantity - More types Equipment capable of meaningful demonstration Demonstration Test Methodology (DTM) 1 December

26 ISMI Test Wafer Management Supplier Engagement Supplier Processing and Measurement In-house Processing and Measurement Test Wafer Operations Bare silicon Starting Materials (silicon, etc.) Test Wafer Planning and Equipment Readiness ISMI Silicon Bank Equipment Suppliers 1 December

27 Cost-Sharing Overview ISMI recognizes that the historical financial risk model for wafer-size transition funding is no longer relevant Risk-sharing with tool suppliers is expected to realize the 450mm transition Cost-sharing is the planned mechanism to lower the financial risk; may not be necessary for tool development ISMI is prepared to engage in discussions and negotiations concerning resources for funding of development and plan scope Realistic costs for building tools are needed to help determine which projects will be supported and assess levels of support Cost-sharing program is structured to allow suppliers maximum flexibility for consideration Cost-effective transition is the program goal It is expected that suppliers will have different approaches for different business plan objectives There are several decisions to consider in the context of tool development plans and ISMI involvement ISMI requires certain information to evaluate supplier plans 1 December

28 Example Cost- Sharing Scenarios Alpha/prototype At ISMI [ Test Wafer Tool ] Alpha/prototype At supplier [ Provides Test Wafer support remotely ] Demo tool At ISMI At supplier Demo and Advanced Test Wafers at ISMI Demo at supplier and Remote Advanced Test Wafers Alpha/prototype At supplier [ Provides Test Wafer support remotely ] Beta tool At ISMI [ Test Wafer Tool ] At Supplier [ Remote Test Wafer Tool ] Demo tool At ISMI At supplier Demo and Advanced Test Wafers at ISMI Demo at supplier and remote Advanced Test Wafers 1 December

29 ISMI s 450mm Cleanroom Operations Lot Sorter Ellipsometer Wet Cleans Particle Inspection / Wafer Edge Inspection FOUP Washer (Dec. Install) 1 December

30 Building Test Wafer Infrastructure Q4 09: Initial 3 step process flows executed Q4 10: 8 step flows are presently in fabrication Looped flows have been designed to accelerate tool development (Processing, measurement, processing, reclaim, etc.) Example Test Wafer Flows Particles Wet Clean Particles Metals Measurement* Particles Wet Clean Oxidation Thk/Unif Wet etch Thk/Unif Particles PECVD Oxide Particles Wet Clean Particles Thk/Unif * Full wafer VPD Loop Thk/Unif Plasma Etch 1 December

31 Equipment Performance Metrics - Update Equipment Performance Metrics (EPMs) were published in 2009 as guidance for determination of requirements for ISMI equipment demonstrations 2010 Updates to EPMs EHS expectations and Factory Integration metrics added to EPM LPCVD temperature revised. ISMI will continue to update and revise EPMs in 2011 based on supplier and device maker inputs Currently 6 updates and 4 new applications are in process. Additional updates planned for December

32 Demonstration Test Methods/Metrics 2010 Status and 2011 Plan Demonstration DTM Training 2H 2011 Demonstration Test Infrastructure Testing of select DTM Elements 1H 2011 Performance Metrics Test & Assessment Methods Standards & Guidelines 1 December

33 Accelerating Manufacturing Productivity ISMI 450mm Factory Infrastructure Eddy Bass Factory Integration Project Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

34 Interoperability Test Bed (ITB) Objectives Established 2008 Engage with suppliers for feedback and joint development for faster learning cycles Lead/facilitate rapid development of factory integration elements through demonstration and testing of equipment Feedback technical data and information into the semi standards and guideline development process Assess conformance of equipment to SEMI Standards and ISMI guidelines Measure equipment performance against targets Assess interoperability of factory integration elements 1 December

35 ITB Progress Mission Accomplished Q Standards Demonstrations and Cleanroom Operations Phase Q Support Any Final FI Component Testing Testing: Standard compliance and interoperability testing of final/functional prototype components Final revisions of carriers and loadports developed for testing and standards confirmed in a cleanroom 2010 SEMICON Japan Wrapping up: Return prototype equipment and publish final reports Particle Testing of ITB elements remains important ISMI will continue to monitor Factory Integration progress 1 December

36 ITB Accomplishments: 2008->2010 ITB has tested and provided results to member companies and SEMI Task Forces for: 18 versions of wafer carriers and shipping boxes 11 versions of load ports 5 wafer handling robotic systems 4 versions of PGVs (Person Guided Vehicles) 2 AMHS stockers and OHT (Overhead Hoist Transport) systems Wafer wands, vibration and displacement sensors Provided five FI guideline clarifications Enabled the development of FOUP, Loadport, MAC, and Stocker interface standards 1 December

37 ITB Robots and Load Port Cycle History 7000 Cumulative Total Robot & LP Cycles 11/05/2010 MAC cycling 1200 Cumulative Robot Moves (x1000) Robot Moves Load Port Cycles 10 to 12 mm Pitch Change Focus Shifted to Load Ports & MAC May-08 Jul-08 Sep-08 Nov-08 Jan-09 Mar-09 May-09 Jul-09 Sep-09 Nov-09 Jan-10 Mar-10 May-10 Jul-10 Sep-10 Cumulative Load Port Cycles (x1000) 0 Month >5.0 M Robotic handling moves to date. >1 M Load Port cycles with FOUPs and MACs. 1 December

38 New Strategy - Reusable Silicon Shipping Crate (RSSC) Purpose: Force shipping companies to treat wafer shipments as freight and improve carrier protection Too small and light to use standard pallet jack Shipping crates better fit freight handling equipment Secondary package fits securely inside surrounded by cushions 1 December

39 450mm AMHS Evaluation of 2 stockers and 1 OHT completed 300mm AMHS model scales to 450mm with no significant problems 450mm Carrier standards maturity is enabling suppliers progress PGVs are demonstrated as ready for safe manual handling of 450mm carriers 450mm Overhead Hoist Transport 450mm PGV 1 December

40 1st 450mm Vacuum Platform Successfully Integrated EFEM and Loadports with Platform 450mm Vacuum Platform Available Now 1 December

41 Key ISMI 450mm EQ Software Guidelines (First SEMICON JAPAN 2009) -#8 Equipment shall be designed to minimize unscheduled downtime through fundamental improvements and information-based predictive performance. -Equipment software design shall support to minimize unscheduled downtime.cost effective applications are required to manage equipment health and performance -#17 There shall be a Single Point of Control for factory system command and control of equipment with standard messages and state models. All other data and file communication shall be handled via standardized supplemental communications port(s). -Equipment software shall provide cost effective communication supplemental ports, if the port(s) isn t standardized -Equipment software shall consider security management features to protect key recipe and equipment configuration MES Factory Systems No new updates at this time Key Message -#18 Equipment shall be capable of providing (near) real-time data of high quality and integrity to enable external monitoring of equipment status such as process conditions, health, and reliability. -Equipment software shall support cost effective programmable or configurable interfaces for easy integration with factory systems -Equipment software shall support standardized inter/intra tool data communication approach Intelligent 300mm Scale-Up with no new software standards = Minimizing Costs and Risks -# 19 Equipment shall be capable of material carrier slot integrity or redirecting material to any carrier/slot available to the equipment. -High throughput equipment shall support dynamic wafer redirecting capability and support to release idle empty FOUP to AMHS systems 1 December

42 ITB Remaining Activities in 2010 / early 2011 MAC testing Actively shipping wafers all over the world in MACs All damaged wafers to date were caused by rough or improper handling by shippers Adjustments in 3rd level (tertiary) packaging has been implemented to force shippers to handle wafer shipments as freight MAC interoperability testing on load ports, AMHS OHT and Stockers complete - no open issues AMHS testing Complete initial testing of AMHS systems; including OHT and Stockers no roadblocks to scale up discovered AMHS suppliers continue on track to deliver systems/components by December

43 Summary 450mm ITB > 1M load port cycles completed in the ITB with prototype carriers > 73k load port cycles with MACs ITB key focus is demonstration of MAC compliance, performance and interoperability Completed initial evaluations with Stockers and Overhead Hoist Transport in September 2010 Shipping test show chronic problems with handling of wafer carriers and secondary packaging by freight companies Reusable Silicon Shipping Crate (RSSC) proposal to be tested in November with initial results available in December Sun-setting ITB after completion of MAC, MAC load port (i.e., new standard load ports), and interoperability with FOUPs and MACs testing at end of 2010 Platform 450mm vacuum platforms are available now Successful integration of 450mm EFEM and Platform has been demonstrated ISMI will continue to evaluate the feasibility of platform:chamber standardization Software guideline There are no updates on 450mm Software guideline at this time 1 December

44 Accelerating Manufacturing Productivity Summary and Key Messages Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

45 Summary - 450mm readiness October 2010 Test wafer development has started for multiple process and metrology equipment Supplier interest in development of equipment for test wafer generation and/or demonstration is increasing - in all geographies Silicon suppliers are positioned to provide additional quantities of 450mm wafers - with improved quality ISMI s wafer loan program has provided >550 wafers for industry development SEMI Standards are completed for 450mm FOUPS and loadports, and 450mm Mechanical and Developmental grade wafers Initial evaluations of AMHS prototype pilot lines are completed 450mm equipment platforms are available now 1 December

46 450mm Key Messages October 2010 ISMI continues to drive the activities required to enable the needs of its members towards the realization of a costeffective 450mm transition ISMI expects to realize multiple new capabilities and increased wafer inventory in 2011 Challenges remain to realize a comprehensive 450mm infrastructure at advanced technology nodes Wanted - constructive discussion with entities interested in contributing towards ISMI s 450mm transition goals 1 December

47 For Further Information. -or- Tom Jefferson, ISMI 450mm Program Manager THANK YOU!!! 1 December

48 Questions and Discussion ISMI Accelerating Manufacturing Productivity 1 December