3C-SiC growth on Si substrates via CVD: An introduction

Size: px
Start display at page:

Download "3C-SiC growth on Si substrates via CVD: An introduction"

Transcription

1 3C-SiC growth on Si substrates via CVD: An introduction Written by Jessica Eid LMGP/INPG, France, and Irina Georgiana Galben LMGP/INPG, France, based on the lecture of Prof. Stephen Saddow University of South Florida, USA Abstract In this work, growth and characterization of 3C-SiC thin films have been studied. Chemical vapor deposition (CVD) of 3C-SiC thin films on silicon substrates using a horizontal hot-wall CVD reactor has been described. A growth rate of 30 µm/h was achieved at 1380 C. A comparison in the quality of crystals grown by Low Pressure (LP) and Atmospheric Pressure (AP) CVD was made. The results suggest that the LPCVD process leads to thinner films with a smoother surface and better crystal quality. 1. Introduction Silicon carbide (SiC) possesses electrical and mechanical properties that make it a very promising semiconductor superior to silicon for high environments and high power applications. It is well known that there are a large number of polytypes for the SiC crystal. The most common are hexagonal (2H, 4H and 6H-SiC), rhombohedral (15R and 21R-SiC) and cubic (3C-SiC). The only pure cubic polytype, 3C-SiC, has many advantages for MOS device applications over the other polytypes due to the smaller band gap. In addition, the electron Hall mobility is isotropic and higher compared with these of 4H and 6H polytypes [1]. Most important is that this polytype can be grown on silicon substrates hence there is significant interest for low-cost, large-size 3C-SiC wafers for microelectronic applications. SiC growth from a stoichiometric melt is not feasible due to thermodynamic reasons because it sublimes at T > 2830 C, therefore vapor phase epitaxy is needed for film growth. The chemical vapor deposition (CVD) method is the preferred technique to grow 3C-SiC films on Si substrates. However, due to the significant difference of the thermal expansion coefficients and the 20% misfit between Si and 3C-SiC the defect density in these films is high. Progress has been achieved recently in defect reduction at the interface by studying the temperature of the growth process, the Si substrate orientation, etc. In this paper, the basics of the CVD process are given followed by a brief summary of important achievements in CVD growth of cubic silicon carbide. The films quality (structural and electronic defects) was observed mainly by X-Ray diffraction (XRD), transmission electron microscopy (TEM) and low temperature photoluminescence (LTPL). One of the key growth experimental parameters (pressure) is investigated to grow 3C-SiC on (100) Si substrates. Finally some applications using these 3C-SiC films are demonstrated. Physics of Advanced Materials Winter School

2 2. CVD growth basics CVD is a materials synthesis process in which one or more volatile precursors react in the vapor phase near or on heated substrate to form a solid thin film. The CVD technology combines several scientific and engineering disciplines including thermodynamics, kinetics, fluid dynamics, plasma physics (for plasma enhanced CVD) and of course the chemistry. Several steps that must happen in every CVD process can be listed as follows (Figure 1): reactant gases are transported with carrier gas to the reactor, reactant species diffuse through the boundary layer above the growth surface, the species migrate to the reaction site on the surface via surface mobility, reaction (adsorption or chemisorption) takes place on the surface, gaseous byproducts are transported away from the surface, and finally diffused away through the boundary layer. Figure 1. Schematic illustrating the fundamental steps in chemical vapor deposition Depending on the type of source supplying the required activation energy, there are various CVD processes: hot and cold wall CVD and plasma enhanced CVD, etc. Pressure dependent CVD are normally of two types: atmospheric pressure CVD (APCVD) and low pressure CVD (LCVD). The CVD process is a viable single crystal production method with satisfactory doping behavior. As discussed in the section dealing with the fundamentals of CVD, the precursor gases are transported using a carrier gas, usually hydrogen, into a reactor where a substrate is heated to specific temperature where chemical reactions occur on the surface of the substrate. This produces a solid deposit on the substrate, and volatile byproducts to be pumped away from the system. Through chemical vapor deposition thin films of SiC can be grown homoepitaxially (SiC on the same polytype substrate) and heteroepitaxially (on different substrates e.g., silicon. The advantages of using silicon as a substrate in heteroepitaxy of 3C-SiC are the large size, high quality, low price, and good polytype control. The equipment used in SiC heteroepitaxy is the same as for homoepitaxy. Thin films of 3C-SiC on 6H- SiC growth at temperatures of 1450 C with deposition rates of 4 µm.h -1 and thicknesses up to 12 µm were reported by Powell et al. [2]. Compared with 3C- SiC deposition on Si, these samples exhibited fewer interface defects. The initial experiments with deposition of SiC on Si [3,4] illustrated that the difference in both the lattice constant (almost 20%) and the thermal expansion coefficient Physics of Advanced Materials Winter School

3 (almost 8%) between SiC and Si produced a high concentration of defects at the SiC-Si interface and, consequently, this process of crystal growth turned out to be problematic. Nishino et al. [5] solved this problem by growing films with the so called "Buffer layer" technique where the film is deposited at atmospheric pressure with specific gases (SiH 4 /C 3 H 8 /H 2 ) in a quartz reactor with a graphite susceptor, and an inductive heating system. The production of epitaxial films with high quality depends on a number of factors. In particular, the substrate orientation has a large influence on the epitaxy process [6] and it has been shown that for the (100) and (111) orientations the best results are achieved. On the other hand, Si (111) substrates frequently show cracks in the film during the cooling process because higher mechanical stresses result for this substrate orientation compared to (100) substrates. Exhaustive studies show that the deposition rate is connected with the quality of the film, i.e. by using lower deposition rates, the quality is improved. In addition, when separate precursors are used for Si and C, the proportion of Si and C (i.e., the Si/C ratio) in the gaseous phase changes the film properties. Other factors such as deposition pressure, temperature, carbonization temperature, carbonization duration and gaseous purity influence the 3C-SiC film quality [7]. The Buffer-Layer-Process at temperatures higher than 1300 C leads to the formation of several film crystal defects. In order to reduce the defects density at the interface between the Si substrate and the 3C-SiC film, Nagasawa et al. [8] have developed a CVD process using a cold-wall low pressure CVD reactor at 1350 C. The film was deposited on an undulant Si substrate. One of the experimental parameters which affect the process is the pressure. The pressure changes the gas velocity and, therefore, the thickness of the boundary layer (BL). When a low pressure is used, the boundary layer is thin and the reactant diffusion is faster. While a high pressure results in a thick boundary layer and increased residence time as the Figure 2 shows. 3. Experimental Figure 2. Schematic illustrating the AP and LP CVD The CVD system consists of a horizontal hot wall reaction chamber. The precursor gases silane (SiH 4 ) and propane (C 3 H 8 ) as Si and C sources respectively and hydrogen as carrier gas had been used in this work. The carbonization process was carried out at specific temperature reached in less than 2 minutes. For carbonization a mixture of hydrogen and propane gases is introduced on the heated substrate (T substrate = 1175 C). After the carbonization step, the substrate was heated to the required growth temperature (1385 C) while a mixture of silane, propane and hydrogen was introduced into the reactor. After completion of the growth process, the system was cooled down from growth temperature to room temperature in about 30 minutes. The complete CVD growth process used is demonstrated in Figure 3. Physics of Advanced Materials Winter School

4 Figure 3. Time vs. temperature graph of CVD process 4. Results and Characterizations C-SiC on Si hetero-defects The defects formation in the 3C-SiC layers grown by CVD on silicon is due to the large lattice mismatch and the difference in thermal expansion coefficient between Si and SiC. The Figure 4 illustrates the affect of the lattice mismatch at the interface between the 3C-SiC layers and the Si substrates. Figure 4. Illustration of the affect of lattice mismatch in heteroepitaxy. The symbol denotes the location of a missing row of atoms which is known as a line defect. Note the stretched and compressed covalent bonds at the interface resulting from the lattice mismatch between the two crystals. The most common defects in the 3C-SiC layers are stacking faults (SFs), microtwins (MTs) and anti-phase boundaries (APBs) X-ray diffraction (XRD) Powder diffraction The crystalline quality of the grown layers is analyzed by x-rays diffraction in Bragg-Brentano mode with Cu-Kα monochromatic source. In the Figure 5, XRD spectra of SiC layers grown on Si (001) substrates with different growth rates of Physics of Advanced Materials Winter School

5 (a) 18 µm/h and (b) 30 µm/h are shown. For both samples, the peak at 33 is the substrate Si (200) peak. Along with the substrate peaks a pronounced peak at 41.3 attributed to the diffraction due to 3C-SiC (200) planes was observed. For both samples, the peak at about 36 attributed to the 3C-SiC (111) plane did not appeared. From the spectra it could be concluded that the layers were grown epitaxially with preferred orientation and highly aligned with that of the substrates. Figure 5. XRD spectra for 3C-SiC grown on Si (001) Rocking curve The XRD rocking curve data of the 10 µm thick 3C-SiC epitaxial film grown at a rate of about 30 µm/h shows that the full width at half maximum (FWHM) of the (200) peak was 300 arcsec. The growth of 3C-SiC thin films on Si(001), Si(011) and Si(111) substrates using silane and propane precursors in LPCVD (400 Torr) at carbonization temperatures 1135 C and growth temperature 1380 C was investigated. Different from APCVD technique, the LPCVD method produces homogeneous films with a smoother surface but thinner films (i.e., lower growth rate). The rocking curve data shows a narrower FWMH and therefore a better quality is obtained when a LPCVD process is used, except for the films grown on Si (110) substrate Transmission electron microscopy (TEM) A plane view TEM micrograph (Figure 6) from the 3C-SiC film shows the presence of the stacking faults and the anti-phase boundaries. Physics of Advanced Materials Winter School

6 Figure 6. Plane view TEM micrograph The cross-section TEM shows excellent quality and perfect alignment of films with substrate. Micro-twins and stacking faults are typical defects for 3C-SiC/Si heteroepitaxy system. Figure 7. Cross-section TEM (X-TEM) micrographs showing the SiC/Si interface: a) Low Pressure (LP) process, b) Atmospheric Pressure (LP) process on Si (100) substrate and the associated selected area electron diffraction images. The thickness affects strongly the size of the defect-free domains. As Figure 7.b shows, in the APCVD carbonization, a higher density of defects in initial stage of growth was observed. The stacking faults (SFs) along the (111) directions detected (by plan view) on the surface with a characteristic cross-shaped Physics of Advanced Materials Winter School

7 diffraction of the (200) 3C-SiC spots. The micro-twins appear in the initial stage of growth leading to the exposure of the (111) plane on the surface during growth Low Temperature photoluminescence (LTPL) The LTPL measurements were performed on different layers grown with different growth rate. The samples were irradiated with 40 mw He-Cd laser (325nm) at 2K. The 3C-SiC penetration is 2,9 µm. In Figure 9 we see a global picture of the 3C- SiC LTPL spectrum. It shows that the nitrogen no-phonon line (N 0 ) at 5210A is absent. The peak 2 is an intrinsic defect whose no-phonon line is at 5373A. TA, LA, TO, LO are the first order phonon replicas of N 0. Figure 9. 2K spectrum of the 3C-SiC film grown by CVD 5. Summary A process suitable to produce high-quality 3C-SiC hetero-epitaxial films of single crystal morphology has been developed in a hot-wall CVD reactor. Epitaxial film deposition on planar (100) Si substrates was performed at a growth rate ranging between 15 and 30 µm/h. The density of different defects still needs to be reduced in order to realize electronic devices. The carbonization step and compliant substrates are under investigation. Finally, 3C-SiC membranes for MEMS applications are under development. Physics of Advanced Materials Winter School

8 7. References [1] E. Polychroniadis, M. Syväjärvi, R. Yakimova, J. Stoemenos. Microstructural characterization of very thick freestanding 3C-SiC wafers. Journal of Crystal Growth 263 (2004), p [2] J.A. Powell, D.J. Jarkin, L.G. Matus, W.J. Choyke, J.L. Bradshaw, L. Henderson, M. Yoganathan, J. Yang, P. Pirouz. Growth of improved quality 3C-SiC films on 6H-SiC substrates. Applied Physics Letters 56 (1990), p [3] S. Nishino, Y. Hazuki, H. Matsunami, T. Tanaka. Chemical Vapor Deposition of Single Crystalline β-sic Films on Silicon Substrate with Sputtered SiC Intermediate Layer. Journal of Electrochemical Society 127 (1980), p [4] H. Matsunami, S. Nishino, T. Tanaka. Heteroepitaxial growth of β-sic on silicon substrate using SiCl4-C3H8-H2 system. Journal of Crystal Growth 45 (1978), p [5] S. Nishino, J.A. Powell, H.A. Will. Production of Large-area Singlecrystal Wafers of Cubic SiC for Semiconductors. Applied Physics Letters 42 (1983), p [6] C.A. Zorman, A.J. Fleischman, A.S. Dewa, M. Mehregany, C. Jacob, S. Nishino, P. Pirouz. Epitaxial growth of 3C-SiC films on 4 in. diam (100) silicon wafers by atmospheric pressure chemical vapor deposition. Journal of Applied Physics 78 (1995), p [7] K. Ikoma, M. Yamanaka, H. Yamaguchi, Y. Shichi. Heteroepitaxial Growth of β-sic on Si(111) by CVD using a CH3Cl-SiH4-H2 Gas System. Journal of Electrochemical Society 138 (1991), p [8] H. Nagasawa, K. Yagi, T. Kawahara. 3C-SiC Hetero-epitaxial growth on undulant Si(001) substrate. Journal of Crystal Growth 237 (2002), p Physics of Advanced Materials Winter School