In-situ Metrology for Deep Ultraviolet Lithography Process Control

Size: px
Start display at page:

Download "In-situ Metrology for Deep Ultraviolet Lithography Process Control"

Transcription

1 In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley, CA ABSTRACT Submicron Deep Ultraviolet (DUV) photolithographic processes present significant manufacturing challenges due to the relatively small process windows often associated with these technologies. The sensitivity of the process to small upstream variations in incoming film reflectivity, photoresist coat and softbake steps as well as the bake plate temperature can result in the final critical dimension (CD) going out of specifications and more importantly, not being identified until the end of the lot. In this work, we have identified the pre-exposure film stack reflectance and the pre-develop exposed area photoresist thickness loss as wafer state quantities, related to the final photoresist critical dimension. A control model integrating equipment and wafer state parameters has been constructed, using a designed experiment, to facilitate control of the photolithography sequence. We have also installed a scanning head, broadband reflectance spectrometer as an in-situ sensor to measure the film stack reflectance for feed-forward corrections to the exposure dose, and to measure the resist thickness loss as a uniformity measure of the combined exposure and the post-exposure bake steps and hence of the final photoresist CD. Keywords: Design of Experiments (DOE), Feedback/Feed-forward Control, Broadband Reflectance Spectrometer, Deep Ultraviolet Lithography (DUV), In-situ, Deprotection Induced Thickness Loss, Process Control. 1. INTRODUCTION Feature dimensions in semiconductor manufacturing are continually decreasing, while die and wafer sizes are increasing. In our quest to achieve smaller feature sizes, the industry has moved through several lithographic generations. Each transition has been expensive and time consuming. Yet, future transitions (to 193, 157 and potentially to 13 nm EUV), are only promising to be much more expensive and time consuming than before, since not only light sources, but also optics and resists have to be substantially re-engineered. One way to moderate this cost is to extend the useful life span of each generation by pushing it to its absolute minimum achievable pattern while at the same time keeping the process variability at its minimum. The solution to this dilemma is the introduction of self-measuring, self-adjusting processes. We have shown in the past with I-line lithography that this is possible, assuming that in-situ metrology exists to make the process observable [1]. So, in the context of this objective, we have focussed our control effort in pushing the observability of these new DUV technologies. High end devices such as microprocessors require a considerable number of process steps. Therefore, it is becoming increasingly important to have an accurate, quantitative description of the submicron structure after each step. Currently the lithography process is monitored before photoresist spin on (index, thickness and uniformity measurement of incoming stack) and after development (linewidth and profile measurement). Inspection at the initial and final stages of the process, however, provides 1. Further author information - N.J. (correspondence): nickhil@eecs.berkeley.edu; WWW: Telephone: (510) ; Fax: (510)

2 only a measurement of the cumulative effects of all the upstream process steps. To isolate the effect of each process step, monitoring at each step is necessary. This need for wafer process monitoring requires in-line sensors and real time algorithms to facilitate real time analysis of sensor signals. In-line refers to processing steps or tests that are done without moving the wafer and are usually unobtrusive, non-contact and with little extra cost to the process. This is in contrast to off-line metrology, where the wafer needs to be removed from the processing environment to be measured. In-line metrology is preferred to off-line metrology due to increased throughput and possibly yield. The need for in-situ and/or in-line process monitoring must however be balanced with critical manufacturing issues such as possible adverse effects on throughput, cost, sensor integration into an overall control strategy, possibly limited sensor reliability, etc. [2]. Most commercial metrology equipment is either too slow or too complex to be implemented in an in-line arrangement. An ideal in-line metrology sensor would be capable of making measurements that are sufficiently accurate, repeatable and rapid at a low cost. At present there is no single technique capable of meeting all of these demands. 2. IDENTIFICATION OF WAFER STATE PARAMETERS RELATED TO THE PHOTORESIST CRITICAL DIMENSION The DUV lithography sequence provides the process engineer with numerous opportunities to monitor the process and wafer state [FIGURE 1]. Having decided on the process steps during/after which one can monitor the process, it is important to decide on what quantity one is interested in monitoring. Ideally, this quantity should be the final quantity of interest. However, this may not always be possible. In the DUV lithography sequence, the final quantity of interest is the CD, which does not begin to form until the PEB step at the earliest. Hence, it becomes important to identify practical observables that are strongly related to the final CD. The thickness and reflectance of the thin film stack are good observables due to the large body of work done in measuring these quantities both accurately and in real time as well as due to studies correlating these quantities to the CD.

3 Thickness & Reflectance Thickness & Reflectance Thickness loss CD Thin film deposition Spin Coat & Soft Bake Exposure & PEB Develop Lithography Workcell FIGURE 1. Opportunities for measurement in the DUV lithography sequence 2.1 Thin film Reflectance The substrate in all forms of lithography contribute reflected photons or particles which usually degrade the image. For optical lithography in the DUV regions, substrate reflections are very severe and contribute standing wave effects. Inspite of partially coherent imaging, standing waves remains an issue. The ratio of the exposure in the constructive and destructive regions can be a factor of 8 [3]. The relative exposure of the resist in the maxima and the minima depends on the reflection coefficient seen by the wave in the resist material upon striking the substrate. This reflection coefficient depends on the refractive indices of the resist and the underlying thin film stack. An example of a thin film stack in the lithography sequence is the gate stack (polysilicon on gate oxide on silicon). The structure and optical properties of polysilicon depend very much on the deposition temperature, the dopant type and concentration and on the subsequent thermal cycling [4]. This causes large variability in the optical constants of the polysilicon layer and hence in the reflectance of the gate stack. This problem is overcome to a large extent by the use of anti-reflective coatings. A second major problem in optical lithography is that the periodic change in the effective refractive index with anti-reflective coating thickness and resist thickness produces periodic variations in the reflectivity of the thin film stack and hence in the efficiency with which energy is coupled into the resist layer. Thus, the standing wave exposure effect and the energy coupling effect are major concerns from thin film effects in optical lithography. The problem is worse in the absence of the anti-reflective coatings, in which case the underlying stack reflectivity variations add to the resist thickness caused reflectivity variations. In both cases, the topography on the wafer worsens these effects, resulting in linewidth control problems. The above problems motivate the measurement of the reflectance of the thin film stack so as to compensate for the reflectivity variations by tuning the dose and hence maintaining the same effective energy coupled into the resist.

4 2.2 Chemically Amplified Resist Deprotection Chemically Amplified Resists (CARs) are composed of a polymer resin which is very soluble in an aqueous base developer due to the presence of hydroxyl groups. These hydroxyl groups are blocked by reacting the hydroxyl group with some longer chain molecule, resulting in a very slowly dissolving polymer. The blocked polymer undergoes acidolysis to generate the soluble hydroxyl group in the presence of acid and heat [5]. The cleaved sidechain is volatile and evaporates, causing film shrinkage in the exposed areas. The extent of this exposed photoresist thinning is dependent on the molecular weight of the blocking groups. Deprotection refers to the amount of de-blocking of the resin and is directly proportional to the exposure dose and the PEB conditions used. It is a quantitative measure of the state of the photoresist after the post exposure bake process and has been shown to be strongly correlated to the final photoresist critical dimension [6]. It has become common practice to employ Fourier Transform Infrared (FTIR) spectroscopy for off-line quantification of the deprotection reaction. However, due to the absence of in-situ FTIR sensors, there was a need to identify an observable that was strongly correlated to the deprotection while at the same time lending itself to in-situ measurements. A study was done to correlate the resist thinning in the exposed areas after the post-exposure bake process to the deprotection of the resist using FTIR [7]. The resist thinning was measured in blanket areas since it is easier to measure than in patterned areas.the process inputs for this experiment were the exposure dose and the PEB temperature while the response variables were the amount of deprotection and the exposed area resist thickness loss. The exposure dose was varied from 1 mj/cm2 to 5 mj/cm2 in steps of 0.5 mj/cm2 on each wafer (nine blanket area exposures). The PEB temperature was varied from 120 degrees Celsius to 140 degrees Celsius in 10 degrees Celsius steps, thus requiring a total of 3 wafers. The deprotection was measured using both the hydroxy and the ester vibrational bands [8]. The thickness loss measured in the unexposed areas of the wafers was assumed to be due to solvent evaporation. This value was subtracted from all the thickness loss measurements of the corresponding wafer in the exposed sites. A linear regression model was built for this resultant thickness loss as a function of the deprotection [FIGURE 2]. This showed that the exposed area thickness loss can serve as a reliable indicator of the deprotection and hence of the critical dimension using a simple in-situ thickness measurement sensor. In this work, this sensor is installed on the chill plate. Hence, this measurement cannot be used for real time measurement and control of the PEB process but will rather be used to monitor the uniformity of the PEB process and hence the uniformity of the CD across the wafer.

5 Thickness Loss in Angstroms x11[, 3] Deprotectionx11[, ester 4] Summary of Fit: Multiple R 2 = Average model prediction error = on 24 degrees of freedom F-statistic: 5460 on 1 and 24 degrees of freedom FIGURE 2. Thickness loss as a function of the deprotection measured by monitoring the normalized ester absorbance The final model for thickness loss as a function of deprotection is T loss = D ester (1)

6 3. EXPERIMENT 3.1 In-situ sensor installation The photospectrometer used for collecting reflectance spectrographs for reflectance measurements is the commercially available INS-800 by SC Technology. It measures the reflectance of a wafer from 240 nm to 1000 nm, using a dual deuterium-halogen light source, and is capable of measuring thin film stack thicknesses in either a single layer, or a two layer optical system. The precision of the readings are heavily dependent on the setup operations, which consist of carefully aligning the probe perpendicular to the wafer and using a very clean reference wafer. Mounted on the SVG wafer track at the Microlab in Berkeley, the Inspector has a scanning head to make spatially resolved measurements and is set up to measure wafers as they reach the chill plate. 3.2 Experimental Design We used a statistically designed experiment to build models for the exposed resist thickness loss and the final CD at 0.24 µm as a function of film stack reflectivity and exposure dose. A commercial DUV photoresist was used. The resist thickness loss was measured on blanket areas while the CD was measured in areas patterned with a standard resolution reticle [FIGURE 3]. The measurements were made on a CD-SEM and a single angle broadband ellipsometer. blanket areas patterned areas (resolution reticle) FIGURE 3. Blanket and Patterned Exposure Pattern for the CD control model experiment A total of 10 bare silicon wafers were coated with an anti-reflective coating and then with a DUV photoresist at different spin speeds yielding resist thicknesses. Each wafer was softbaked and was measured for reflectance at 248 nm. The wafers were then each exposed using 16 different doses for the patterned areas and 16 different doses for the blanket areas. Different doses were used for the patterned and blanket areas to account for diffraction effects while keeping the same effective dose. The range of the doses had their centers at the optimum for the dose to size and dose to clear. Replication of the doses across the wafer provided better estimates of the error. These wafers were then baked and measured for thickness in the exposed areas to extract thickness loss. This was followed by the develop step and measurement of the CD.

7 4. RESULTS AND DISCUSSION Control models were built using linear regression techniques for the CD as a function of exposure dose (patterned dose) and reflectance as well as for the thickness loss as a function of exposure dose (blanket area) and reflectance. This yielded the following models: T loss = α + β D χ R 248 (2) CD ( µm) = ε Φ D + γ R 248 (3) where D is the dose R 248 is the reflectivity at 248 nm T loss is the exposed area resist thickness loss Implementing this control strategy would require blanket area exposures to use the thickness loss as a control observable. However, for a given exposure dose, the effective dose coupled into blanket areas is higher than that in patterned areas, due to diffraction effects. To get a similar effective dose in both the blanket and patterned areas, the blanket areas need to be exposed at a lower dose. This requires the stepper to make two passes. In the first pass, the patterned exposure dose is dialed in while in the second pass, the reticle is unloaded and the blanket area exposure is dialed in. This would mean reduced throughput. This problem can be eliminated by using a small area on the product reticle (typically used for bonding pads) that has a line space pattern smaller than the illumination wavelength. This would cause an attenuation of the patterning dose without much modulation [FIGURE 4]. The line space pattern could be designed such that it is easy to print on the reticle while at the same time achieving the required attenuation so as to keep the thickness loss in the linear region. Equation 2 can be used to predict the resist thinning that should be observed after the PEB step. If it were possible to install an in-situ sensor on the bake plate, one can use equation 2 to control the PEB process in real time. However, in its current state, it can be used for monitoring the uniformity of the integrated exposure and PEB process and hence of the final CD. This information can hence be used in a more diagnostic mode to identify CD variations across the wafer. Equation 3 can be used for feed-forward control to the exposure step depending on the reflectivity of the thin film stack seen before the exposure step.

8 (a) (b) FIGURE 4. a) Aerial image for features above resolution limit of stepper b) Aerial image for features much smaller than the resolution limit of stepper 5. SUMMARY AND CONCLUSIONS In the preceding sections we have identified the film stack reflectance and the exposed area resist thickness loss as wafer state parameters that are strongly correlated to the final photoresist critical dimension. Control models have been built for the photoresist CD as well as the exposed area resist thickness loss as functions of the film stack reflectance and the exposure dose using a statistically designed experiment. A more involved control model using the PEB parameters can be constructed if required. We have shown that the CD is related to the reflectance and the exposure dose within a certain range of exposure doses. It has also been shown that the thickness loss is correlated to the final photoresist CD. This information can be used for either real time control of the PEB process or more conservatively, for monitoring of the process and prediction of the spatial distribution of CDs across the wafer. These models will be used for future control demonstrations on the DUV lithography sequence. A sensor has also been installed on the chill plate of the wafer track in the Microlab at U.C. Berkeley to facilitate in-situ measurements of reflectance and thickness of the thin film. Work on using this information for feedback and feed-forward control of the lithography sequence is the next step and is currently being pursued. 6. ACKNOWLEDGEMENTS This work was supported by the SRC under contract and the MICRO under contract REFERENCES [1] S. Leang, Supervisory Control System for a Photolithography Workcell M.S. Thesis, July [2] A. Iturralde, A Review of Sensing Technologies for Semiconductor Process Applications, ISSM [3] A. R. Neureuther, Simulation of Semiconductor Lithography and Topography, Monograph [4] S. Wolf, R. N. Tauber, Silicon Processing for the VLSI era Vol. 1, Lattice Press, 1986 [5] C. Mack, Inside Prolith - A Comprehensive Guide to Optical Lithography Simulation, February 1997

9 [6] Understanding lithography technology issues through simulation, Japanese Journal of Applied Physics, vol. 32, 1993 [7] N. Jakatdar, et.al., Characterization of a Positive Chemically Amplified Photoresist from the Viewpoint of Process Control for the Photolithography Sequence, SPIE [8] R. Carpio, J. D. Byers, J. S. Petersen, W. Theiss, Advanced FTIR Techniques for Photoresist Process Characterization, SPIE vol.3050, 1997