Cu/low κ. Voids, Pits, and Copper

Size: px
Start display at page:

Download "Cu/low κ. Voids, Pits, and Copper"

Transcription

1 Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit features have scaled below 0.25 micron, the resistivity of aluminum has become an obstacle to integration. With forty percent higher conductivity than aluminum and far more resistance to electromigration copper holds the key to dramatic improvements in circuit density, speed and reliability. Integrating copper into the IC manufacturing process, however, is extremely challenging. Copper can diffuse into silicon and dielectrics, causing shorts or leakage, which can impact device performance and yield. The introduction of copper dual damascene processing into integrated circuits has brought about a host of new defectivity issues, especially those related to voiding and pitting. These defects must be understood and eliminated to achieve competitive manufacturing yields and assure device reliability. Surface pit characterization in copper processes As part of a joint development copper program to develop 100 nm logic processes, KLA-Tencor and Texas Instruments worked together to develop new defect inspection strategies. The most important yield limiting defect types with copper are voids. Almost naturally, copper voids seemed to group into two distinct categories: optically detectable voids that are on the surface of the copper layer, and sub-surface voids, which are detectable using e-beam voltage contrast inspection (Figure 1). Sub-surface void Figure 1. The most critical copper voids can be grouped into two categories optically detectable pits/voids on the surface of the copper, and sub-surface voids not observable from the surface, but detectable using e-beam voltage contrast inspection. 8 Winter 2002 Yield Management Solutions

2 formation may stem from electroplating, annealing, or polishing steps. It is important to identify appropriate inspection tools to characterize the process steps responsible for void generation in order to quickly determine root causes and optimize process capability, process control, and tool maintenance. Post-ECD Post-CMP An advanced 0.13-micron, copper dual damascene process was used at Texas Instruments Kilby Development Center (KFAB) to generate the samples in this paper. The gallery of defects generated by this type of process (Figure 2), shows some embedded and surface particles, but primarily voids or pits dominating the defect Pareto. Micropartioning strategies determine root cause At the time that Texas Instruments and KLA-Tencor undertook the studies of copper defects, the process of record had brightfield inspection as part of the process loop, and this was done after copper CMP. Although brightfield inspection revealed many defects some yield limiting and some less important the sheer numbers of defects and the presence of patterning and underlying defects made surface void source partitioning difficult. Optical Images Copper Defect Gallery Process Step ILD Dep (5 Step) ILD CMP (Optional) Via Photo Via Etch Trench Photo Trench Etch (BARC, Trench, Etch Stop) Barrier/Cu Seed Dep Cu EP Cu Anneal Cu CMP Defective Via BARC Under-Etch SEM Images ECD To partition the source of these defects, a darkfield inspection was carried out using a KLA-Tencor AIT III, and the first inspection was performed after CMP. Immediately, a high defect count and an obvious swirl pattern of voids were noted. The defect Pareto revealed the highest two categories to be voids and long pits, which are essentially another form of voids. Together, these two categories Seam From Topography Embedded Particle /Rip Out Figure 2. This figure shows a gallery of typical defects generated during copper dual damascene processing. s or pits dominate the defect Pareto, although a few other surface-type defects are present at a lower level. ECD ECD accounted for well over 70 percent of the Pareto. Based on knowledge of the process and the process tool, the void formation appeared to show a CMP signature (Figure 3). The first step in moving towards understanding the voids was inspection after electrochemical deposition (ECD). Post ECD inspection showed the swirl pattern to be subtler; voids and pits were also present, albeit smaller and shallower than after CMP. The next step was to overlay ECD and CMP defects on the wafer map using analysis software. Defect overlay confirmed that the post-cmp voids in the swirl pattern had their root cause in the ECD, and were enlarged and revealed by polishing and post-cmp clean (Figure 4). In this and other examples we have seen that darkfield inspection is quite successful for rapid and focused engineering analysis in the copper loops. This is because oblique illumination minimizes the detection of previous Winter 2002 Yield Management Solutions 9 Post-CMP Pareto 70% 60% 50% 40% 30% 20% 10% 0 Long Pit Particle Long Pit Figure 3. Darkfield inspection implemented post-ecd and post-cmp, using KLA-Tencor s AIT III, was utilized to partition the source of patterning and underlying defects. Scratch Other Missing Material

3 Common defects show "swirl" pattern Same Defect Random Mode Logic Array Mode SRAM Array Mode DD Test CHIP Post-ECD Physical (non V.C.) Missing Count Adder Count / Total True Defects: 306 Displayed Total Defects: 2431 Displayed True Defects: M1PL JDP_M1CMP_SW Stepchart Post-CMP Figure 4. Defect overlay confirmed that the post-cmp voids in the swirl pattern had their root cause in ECD and were simply being enlarged by polishing and post-clean. Darkfield inspection is quite successful for rapid engineering analysis in the copper loops, because oblique illumination minimizes the detection of previous layer issues and other noise, enabling effective focus on current layer problems. layer issues, as well as other inspection noise, so that we effectively focus just on the current layer. Eliminating sub-surface copper voids The second type of copper void is very different. It is a sub-surface void and, generally, these types of defects are related to materials or process integration issues. It is absolutely essential, during the technology development phase, to have a tool that finds these sub-surface voids, enabling screening experiments and quick elimination of these voids. This is critical to ramping a copper damascene process, because voids must be eliminated before the process flow is set, and before it is qualified. If the metal lines contain copper voids, they stand the risk of becoming opens, resulting in device failure. In order to capture these voids at this very important juncture, KFAB used KLA-Tencor s es20xp e-beam inspection tool (Figure 5). Random or array mode: when it works There are a number of techniques for implementing e-beam inspection to inspect sub-surface voids. The first option is random mode inspection of the logic areas on the product chip. This inspection is very useful to perform, as it provides considerable information. However, Physical (non V.C.) Figure 5. Sub-surface voids have many potential causes and are electrical in nature. These sub-surface voids were detected using the es20xp s voltage contrast methodology. due to the random nature of the pattern, it is quite difficult to perform an effective analysis of root cause. It would be quite time-consuming for a failure analyst to take this information and determine the defect that caused the electrical opens. The next option in implementing e-beam inspection is to utilize the array mode to inspect the memory areas of an SRAM chip. Array mode analysis is easier than random mode, because the defects of interest readily stand out in a voltage contrast SEM, but failure analysis is still non-trivial because of slight variation in SRAM design among different products or different technology generation, requiring considerable skill and time to perform the failure analysis. The most useful analytical technique is array mode inspection of defect density test die, which affords straightforward failure analysis, since the analyst can e-beam scan the defective chain along its length to precisely locate the electrical failures and then port those locations to a focused ion beam (FIB) microscope for cross-sectioning (Figure 6). The work shown in the remainder of this paper is focused on the array mode inspection of a defect test chip. The test chip is grounded using a contact mask as the first pattern. This method makes it very easy to see where the defective via is. In the normal case, when the e-beam inspector scans the wafer, the grounded structure will appear bright due to the secondary electron emission. However, if a via void is present, the secondary electrons are effectively extinguished and that portion of the chain will appear dark. The inspection system s extraction field attracts the secondary electrons. 10 Winter 2002 Yield Management Solutions

4 VC Defects Electrical Opens Metal 2 Metal 2 Blocked etch, previous layer Metal 3 Under-etched Via Figure 6. Utilizing a defect density test chip in conjunction with the es20xp s voltage contrast methodology enables easy detection of defective vias. They are replaced from ground in the grounded structure and the floating structure charges positively. Secondary electrons from the floating structure have a lower net energy and are attracted to the positive surface charge, limiting the number that make it to the detector. Hence, these defects look dark (Figure 7). In the case of Figure 8, a surface SEM detected nothing physically wrong, but voltage contrast imaging suggested that there was an electrical open below the surface. That is exactly what the FIB cross-section revealed a void in the previous layer metal, the via landing pad. Screening Experiments After the practicality of e-beam inspection was established, this technique was applied to two experimental problems. The first experiment investigated the effect of ECD seed conditions, pre-ecd rinse, and post-plating anneal on voids, while the second examined the effect of seed thickness and post-plating anneal. Figure 8. This figure shows types of electrical disconnections. The image on the left shows a problem arising from a stopped via etch or under etch; the image on the right shows a blocked trench etch at the previous metal level. Experiment 1 Evaluating via integrity at the wafer level Experiment 1 was conducted to evaluate via integrity at the wafer level using the es20xp under various interconnect process conditions. Three process variables were screened: the ECD seed conditioning, the pre-ecd rinse conditioning, and the post-ecd anneal. The experiment was designed for 0.13 µm dual damascene copper/low-κ via structures. Wafers were inspected using the es20xp. The outcome clearly showed that the same result could be achieved with the es20xp inspection that otherwise would have only been detected with electrical via resistance testing at final test (Figure 9). The experimental data show that the post-ecd anneal conditions drove the experimental results. Anneal B had several times as many defects as anneal A. The other two process variables the ECD seed condition and the pre-ecd rinse condition had negligible effect on the defect counts. Another valuable piece of es20xp Defect Scan Summary Anneal "B" Metal 2 Metal 1 Wafer Map Anneal "A" Total Defect Count in Landing Pad Figure 7. In this example, top surface inspection showed nothing physically wrong; however voltage contrast e-beam inspection and subsequent FIB revealed the presence of a high-resistance, sub-surface connection due to a void in the previous layer metal at the landing pad. Figure 9. This summarizes the results of the first experiment, which compared the effect of ECD pre-conditioning anneal, pre-ecd rinse, and post-ecd anneal on copper voids. The vast majority of defects found by the es20xp were voltage defects as opposed to surface or particle defects. Winter 2002 Yield Management Solutions 11

5 100% 70% 50% 30% Anneal Condition A Anneal Condition B (Ω/Via) Anneal B Anneal B Figure 10. Electrical probing confirmed the e-beam inspection results, with anneal B giving a high resistance fail in the via chain cumulative percent fail, compared to the relatively healthy via fail distribution for anneal A. information was also found in this data a strong acrosswafer radial dependency of the defect counts. The vast majority of the defects were at the edge of the wafer. A number of results were found in this experiment. First, there was a strong wafer-to-wafer effect. The same spatial effect of center to edge found in Experiment 1 was seen again. Seed thickness A produced lower defect counts. The defective vias were again confirmed to have subsurface voids. Seed Thickness A wafers have the most consistent counts as well as the lowest defect counts after four anneals. Seed thickness B wafers had much wafer-to-wafer variability, generally with higher counts. One seed thickness B wafer, wafer 5, had very high counts. Counts increased greatly with the number of anneal cycles. Wafer 5 was then taken to the FIB tool (Figure 12) and, for the third time, it was demonstrated that voids were induced by anneals, both in the plug as well as in the underlying pad. The next step with these same wafers was to probe the via chains; these results were consistent with voltage contrast data. The via chain cumulative percentage plot showed fall-out under anneal B conditions. Anneal A had a relatively healthy, robust distribution of via resistance, whereas anneal B s distribution was poor, with many outliers. This probing revealed the same sort of voids as seen before. Anneal B had either voided via plugs or voids in the underlay landing pad (Figure 10). Moderate Voltage Contrast Example Moderate Extensive Experiment 2 Evaluating via integrity under thermal stress Experiment 2 was conducted to evaluate via integrity under thermal stress using the es20xp. The experiment was again set up on 0.13 µm dual damascene copper/ low-κ via structures. The wafers were exposed to four thermal cycles post CMP. An es20xp inspection was performed after each of these cycles. In this screening experiment there was only one process variable the ECD Cu seed thickness (Figure 11). Defect Count es20xp Via Evaluation 0 1x 2x 3x 4x Time Thickness wfr 1 A wfr 3 A wfr 2 B wfr 4 B wfr 5 B wfr 6 B Figure 12. This figure shows FIB cross-sections of three different voltage contrast voids after the fourth anneal. It was found that intermediate temperature annealing leads to moderate void formation at the bottom of vias, resulting in voids that are not as fully developed as those arising from higher temperature anneal. However, a few extensive void developments were also seen, as shown in the lower right corner of this figure. Conclusion Both optical and e-beam inspection methodologies have proven useful for copper void detection. AIT III inspection, with its oblique angle of incidence, is very effective in detecting surface voids, helping to characterize the ECD process, which is responsible for generating most of the voids. Voltage contrast inspection of defect density test chips using es20xp has a unique and complementary application: to detect subsurface voids. The combination of optical and e-beam inspection tools enables faster detection and analysis of copper voids, leading to accelerated learning cycles. Figure 11. The two wafers having thickness A maintained a low via fail rate throughout the entire annealing sequence. 12 Winter 2002 Yield Management Solutions

6 I n t r o d u c i n g µ L o o p. TM A n o t h e r d a y, a n o t h e r y i e l d c l e. c y l e a r n i n g Visit our site for a µloop webcast presentation. The Switch Is On. Accelerating Yield InLine Electrical Inspection Non-Contact Killer Defect Identification 2001 KLA-Tencor Corporation 2001 KLA-Tencor Corporation