Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel

Size: px
Start display at page:

Download "Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel"

Transcription

1 final examination Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel Department of Electronics and Applied Physics Iwai/Kakushima Lab 12M53480 Lu Guoqiang Tokyo Institute of Technology 1

2 2 Outline 1. Background of this study 2. Experimental Procedure 3. Results and Discussion 4.Conclusions

3 Background I : Scaling limit of CMOS transistors Principles of MOSFET constant-electric-field scaling Eq. (1.1) The number of transisitors on the integrated circuit will increase to P times every 18 months(1.5 years). Both the voltage and the current scaled down by the same factor, k (k > 1), finally the electric filed keeps the same. 3

4 Background I : low power consumption of LSI Miniaturization of MOSFET MOSFET was scale-down about 0.7 times approximately every 2 years. high mobility channel materials low supply voltage to creat high drive current low power consumption of LSI is likely to be realized 4

5 Background I : high mobility channel materials and the power consumption of LSI The electron mobility of In 0.53 Ga 0.47 As (one of III-V materials) is about 12 times than Silicon. InGaAs semiconductor is expected to realize the low power consumption, but the high interface state between insulating film and InGaAs remains a challenge. Compare of electron mobility Power consumption of LSI P =αncf V dd2 +NI off V dd P: the power consumption of LSI (Large scale integrated circuits), α: is a constant value, V dd : the Supply voltage, f : the Operating frequency, I off : the leakage current of off-state, C : the Capacity of a transistor, N : the number of transistors. High mobility channel material is required. Ref: intel HP Reducing the Supply voltage (V dd ) is required for low power consumption of LSI. 5

6 Background II : High-k material of La 2 O 3 gate stacks on InGaAs Interface layer of oxide Interface layer of LaInGaO HfO 2, etc. form the oxide at the interface with In 0.53 Ga 0.47 As. Ref: D. Zadeh et al., 2012SSDM D. Zadeh et al., SSE 82(2013) 29 In order to control the supply of oxygen to the insulating film, lanthanum (La) dissolves the oxide of gallium (Ga) and indium (In), and form an interface layer which is called LaInGaO. Interface of InGaAs and LaInGaO is electrically inactive, so that it is stable to reduce interface state density (Dit) 6

7 Experimental Procedure One cycle of ALD reaction for La 2 O 3 film Fabrication process for MOS capacitor The structure of MOS capacitor 7

8 Experimental Procedure Cycle condition for ALD The liner relation of La 2 O 3 thickness and ALD cycle As long as one cycle of ALD has been carried out, the thickness of lanthanum oxide (La 2 O 3 ) will be deposited for 0.14 nm. 8

9 Results and Discussion CV characteristics of ALD- La 2 O 3 /InGaAs MOS capacitor FGA temperature effect on D it There is less dispersion at each measurement frequency,cv characteristics closed to the ideal can be obtained by a low-temperature deposition. In the range of substrate temperature which is from 150 o C to 160 o C, the lowest interface state ( ev -1 cm -2 ) is clearly examined by FGA 320 o C. 9

10 TEM images ALD-La 2 O 3 layer is a polycrystalline film. Roughness occurs on the interface of La 2 O 3 /In 0.53 Ga 0.47 As during the deposition. After FGA 320 o C, the interface roughness has decreased and flattening of the La 2 O 3 /In 0.53 Ga 0.47 As interface is checked. Moreover, it is considered that the formation of interfacial layer (LaInGaO) is confirmed. 10

11 Effect of H 2 O supply amount Interface state density and CET Gate leakage current and CET References: [1] V. Chobpattana, et.al Appl. Phys. Lett. 102(2013) [2] J. Huang et al., IEDM(2009) [3] S. Takagi. et.,al, IEDM(2012) [4] J. Ahn et al., Appl. Phys. Lett. 99(2011) CET=1.7nm D it =2.4x10 12 ev -1 cm -2 It is possible to reduce the leakage current and achieve further scaling by increasing the H 2 O supply amount. (CET=1.7nm) 11

12 Conclusions Increasing the H 2 O supply amount is an effective method to improve the film quality and achieve further scaling. ALD-La 2 O 3 has formed an interfacial layer LaInGaO by heat treatment, I obtained a low interface state density (D it = 8x10 11 ev -1 cm -2 ). Low-temperature film-forming can prevent the destruction of the ligand and is possible to realize insulating film growth with few impurities in the film by the formation of volatile by-products. 12

13 Thank you for your attention. 13

14 14

15 Background II : High-k material of La 2 O 3 gate stacks on InGaAs XPS spectrum 15