Mostafa Soliman, Ph.D. May 5 th 2014

Size: px
Start display at page:

Download "Mostafa Soliman, Ph.D. May 5 th 2014"

Transcription

1 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1

2 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D.

3

4 Wafers Deposition Lithography Etch Chips

5 1- Si Substrate 3- Photo resist deposition UV light 5- Sacrificial layer etching 7- Structural material deposition and patterning 2- Sacrificial layer deposition 4- Photolithography (Patterning) 6- Photo resist stripping (etching) 8- Sacrificial material etching

6 Single crystal silicon SCS Anisotropic crystal Semiconductor, great heat conductor Silicon dioxide SiO 2 Excellent thermal and electrical insulator Thermal oxide, LTO, PSG: different names for different deposition conditions and methods Silicon nitride Si 3 N 4 Excellent electrical insulator Aluminum Al Metal excellent thermal and electrical conductor

7 Front-End Processes 7 Mostafa Soliman, Ph.D.

8 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photoresist Photolithography Mask polarity

9 MEMS devices are either built into a substrate (bulk micromachining) or on a substrate (surface micromachining). MEMS fabrication processes start with a substrate, or a wafer. Silicon wafers are used in most cases as substrates for MEMS devices. Wafers are created by cutting the silicon ingot to thin wafers with variable thickness as desired. Unpolished Si wafers Silicon ingots Czochralski furnace Polished Si wafer

10 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photoresist Photolithography Mask polarity

11 It is necessary to clean the substrate's surface before proceeding with the micromachining. The RCA cleaning is a standard set of wafer cleaning steps which needs to be performed before high temp processing steps, like film deposition, oxidation, etc. RCA cleaning includes the following steps: Removal of the organic contaminants (Organic Clean) Removal of thin oxide layer (Oxide Strip) Removal of ionic contamination (Ionic Clean) The wafers are prepared by soaking them in DI water, distilled water, then performing the RCA clean as follows: 1- Removal of all organic coatings in a strong oxidant, such as a 7:3 mixture of concentrated sulfuric acid and hydrogen peroxide. Then organic residues are removed in a 5:1:1 mixture of water (H 2 O), hydrogen peroxide (H 2 O 2 ), and ammonium hydroxide (NH 4 OH) at 80 C. 2- Oxide removal from the first step by immersing the wafer a dilute (1:50) Hydrofluoric acid (HF) at 25 C to etch, or to remove or to strip, this thin oxide layer. Note that oxide is an insulator. 3- The third and last step is performed with a 1:1:6 solution of hydrochloric acid (HCl) + hydrogen peroxide (H 2 O 2 ) + water (H 2 O) at 75 or 80 C. This treatment effectively removes the remaining traces of ionic contaminants.

12 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photoresist Photolithography Mask polarity

13 Oxidation is one of the basic steps in the silicon processing, IC microelectronics and MEMS. Silicon oxidizes at room temperature in a normal atmosphere. However, this oxidation is only a few atoms thick with very low quality. Oxidation of silicon occurs at high temperature, usually above 800 C. The oxidation rate of silicon can be seen below. This gives two temperatures, 1000 C and 1200 C. As would be expected, the higher temperature yields a thicker oxide. This figure also shows two types of oxidation, wet and dry. With the wet oxidation, the gas is passed through a bubbler before entering the furnace. This added humidity results in a faster oxidation and thick oxide films although the density and the purity of the oxide are usually low.

14 In dry (or thermal) oxidation, pure oxygen is used as the oxidant, flowed through the oxidation furnace. The oxidation rate depends on the arrival of oxygen at the silicon-oxide interface. The oxygen must diffuse through the oxide to reach this interface (oxide-silicon interface), so as the oxide gets thicker, this arrival rate decreases. As a result, a bare silicon wafer grows oxide relatively quickly, but an already-oxidized wafer, subjected to the same conditions, adds relatively little additional oxide. Oxidation rate is very slow in thermal oxidation, but on the other hand it is more dense and it has better quality and purity than wet oxidation. ~2um films are maximum practical. A layer of Silicon Dioxide (SiO 2 ) will result from both thermal and wet oxidation of silicon processes on top of the Si wafer.

15 What if we want to deposit oxide on certain regions??? Oxidation can be masked with silicon nitride, which prevents O 2 diffusion. Some of silicon is consumed to form silicon dioxide. Silicon nitride (Si 2 N 3 ) SiO 2 Silicon

16 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photoresist Photolithography Mask polarity

17 The basic silicon wafer is either n-type or p-type doped. Additional steps are used to define differently doped regions in the substrate. This can be performed by either diffusion or ion implantation. Diffusion: Diffusion is a process in which the wafers are subjected to an atmosphere containing the desired dopant at a high temperature. The most commonly used dopants are phosphorus oxychloride (POCL 3 ) for phosphorus doping (n-type) and boron nitride (BN) for boron doping (p-type). Ion Implantation: The dopant ions are accelerated toward the wafers with energy sufficient to implement them into the wafer. The depth of the implantation is dependent upon the ion type and the energy. The most commonly used dopants are boron for p-type doping and arsenic or phosphorus for n-type doping. Majority carriers in n-type wafers are electrons (-ve charges). Majority carriers in p-type wafers are holes (+ve charges).

18 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photoresist Photolithography Mask polarity

19 For a number of MEMS applications, additional thin films are required to be deposited on the surface of the substrate. Deposited films could be metals (gold, cupper, chrome, etc) or insulators (silicon dioxide, silicon nitride), or polysilicon. Two deposition methods are used, Physical Vapor Deposition (PVD) and Chemical Vapor Deposition (CVD). Deposition issues, conformality: A conformal coating covers all surfaces with a uniform depth A planarizing coating tends to reduce the vertical step height of the cross-section A non-conformal coating deposits more on top surfaces than side surfaces Conformal Planarizing Non-conformal

20 An example of conformal deposition (1) Physical Vapor Deposition (PVD): PVD does not require a chemical reaction to deposit a thin film layer on a Si wafer. Conductors and insulators can be deposited using this method. There are 2 different processes for PVD, Evaporation and Sputtering.

21 a) Evaporation: It is the process of evaporating of a material due to very low pressure at elevated temperatures. The evaporator chamber consist of: High vacuum chamber with an associated pumping system. Crucible containing the material will be deposited with an associated heating system (resistive heating, inductive heating, or electron beam heating). Wafer support structure for holding the samples will be coated. Evaporation is a line of sight deposition phenomena from the molten material source to the wafer. (It is a directional film deposition method). Step coverage issue, where sidewall are not covered with deposited material Materials: Aluminum (Al), Chrome (Cr), Si, Gold (Au), Tungsten (W), Titanium (Ti),.

22 b) Sputtering: Inert gas ions (Ar or He) are highly accelerated toward the target, which contains the material to be deposited. The inert gas must be of a high atomic weight, like argon. The inert gas atoms are energized enough to eject the surface atoms from the target due to the impact. The ejected atoms from the surface are directed toward the wafer s surface to be deposited on it. Sputtering takes place in a low-pressure gas environment. It is less directional than evaporation (better step coverage). It can achieve much higher deposition rates. Sputtering can also be used with non-metallic targets. Some specialty materials, such as the piezoelectric Films zinc oxide and aluminum nitride, are well-suited to sputtering.

23 (2) Chemical Vapor Deposition (CVD): A chemical reaction occurs on the surface of the wafer, resulting in deposition of a thin film on the wafer. A wide variety of materials can be deposited by CVD methods with great conformality. CVD process takes place in a special chamber or reactors. Atmospheric Pressure CVD (APCVD) results in high deposition rate. It is used mainly to deposit thick dielectrics like silicon nitride. On the other hand, the deposited material contains contamination. Low Pressure CVD (LPCVD) operates at torr. It produces high quality conformal films. It is used to deposit silicon dioxide, polysilicon, tungsten and silicon nitride. Plasma-Enhanced CVD (PECVD) is a low temperature and low pressure process used to deposit silicon nitride. Temperature range of C

24 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photoresist Photolithography Mask polarity

25 Patterned wafers usually require etching. This may be the etching of thin films or in the silicon itself to form 3D structures. Etching can be classified as wet etching (chemical) or dry etching (plasma etching). Each process results in a defined shape of etch pit, depending upon the characteristics of the etching process.

26 Isotropic etchants etch at the same rate in every direction. Anisotropic etchants etch at different rates in different directions. mask An-isotropic Isotropic

27 Selectivity is the ratio of the etch rate of the target material being etched to the etch rate of other materials, mask and substrate. Chemical, wet, etching etches are generally more selective than plasma etches Selectivity to masking material and to etch-stop is important Mask target Etch stop

28 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photoresist Photolithography Mask polarity

29 Electrodeposition, or electroplating, is an electrochemical deposition process in which metal ions in solution are deposited onto a substrate with a metal seed layer. Metals that are well suited for plating are gold, copper, chromium, nickel, and magnetic ironnickel alloys (permalloy). Most plating involves control with an applied electric current. Plating uniformity depends on maintaining a uniform current density everywhere the plating is done. Features of different areas, and regions at the corners of features, may plate at different rates. Plated metals often exhibit rougher surfaces than evaporated or sputtered films.

30 Silicon Fusion Bonding: At room temperature, two highly polished flat silicon wafers brought into contact will bond. The mechanism is believed to be hydrogen bonds between the surfaces. The bond can be converted into a stronger bond at high temperature (~1000 C), annealing process. The main concern with this bonding technique is voids in the bonded wafer due to surface defects, residues, and particulate on the surface. Other silicon-based materials such as polycrystalline silicon (polysilicon), silicon dioxide, and silicon nitride can be similarly bonded. SOI (Silicon On Insulator) is fabricated using this method.

31 Anodic Bonding: Anodic bonding is an electrostatic bonding technique for glass to silicon wafers or silicon wafers with a thin silicon dioxide layer between the wafers. Anodic bonding utilizes a heated chuck with an electrode capable of applying a DC voltage of up to 1000 V. Pressure may be applied to facilitate the bonding process. Requires a temperature of 400 ºC with large electric field. MEMS-based pressure sensor

32 Wafer level processes Pattern transfer Silicon wafers Wafer cleaning Oxidation of silicon Doping Thin film deposition Etching Electrodeposition (Elctroplating) Photolithography Photoresist Mask polarity

33 Lithography is a key batch fabrication process in both IC and MEMS fabrication. Lithography is the most critical process in IC and MEMS processes. The photolithographic system contains: Illumination source Shutter Mask with/without an optical system Photosensitive layer (photoresist) Wafer alignment/support system Projection (step and repeat) lithography

34 There are 3 basic lithographic methods: Contact lithography Proximity lithography Projection (step and repeat) lithography

35 Contact/Proximity lithography: The mask is held in contact or close (few microns) of the photoresist surface. The mask and the substrate have same size. Features on the mask have same size as on the substrate (scale of 1x1) Mask may damage because of the contact. Least expensive photolithography system. Lowest resolution (~1-2 microns). Limited production applications.

36 Projection lithography: Mask and wafer are separated. Optical reduction 2x-10x is placed between the mask and the wafer. High resolution (< 1 micron). Automated moving stages. Complicated system. Most expensive. High volume production applications.

37 Photoresist is a photosensitive material (polymer) that is spun on the wafer surface. Photoresist is an organic compound that dissolves or hardens when it is exposed to UV (Ultraviolet) light (wavelength ~ nm). Thickness of photoresist layer depends on: Viscosity Spinning time Spinning speed

38 Photoresist is either a positive or negative polarity: Positive photoresist: The region of photoresist that has been exposed to the UV light will dissolve during the developing process and be removed. Negative photoresist: The region of photoresist that has not been exposed to the UV light will dissolve during the developing process and be removed. in other words, the region that has been exposed to the light will harden and will not be removed during the developing process (opposite of positive photoresist) Positive photoresist has better resolution than negative photoresist more frequently used. After removal, remaining PR is the physical mask for subsequent process, etching, deposition.

39 Mask is either bright (light)-field or dark-field. Mask is generated from a CAD file. Patterned chrome on glass strip.

40 Back-End Processes 40 Mostafa Soliman, Ph.D.

41 Packaging is the process of encapsulating components inside protective packages. It transforms a micromachined structure into a useful assembly that can safely and reliably interact with the surrounding. Functions of a package are: Protection of MEMS structure. Providing electrical access. Allows interaction with external environment. MEMS packaging is not standard, it differs from one application to another. MEMS packaging is more expensive than the component itself. 41 Mostafa Soliman, Ph.D.

42 42 Mostafa Soliman, Ph.D.

43 Dicing is carried out by a mechanical saw with diamond blade approximately 50 µm wide This subjects MEMS structures to a lot of heat, that is why it is continuously flushed with water This harsh environment including stress, water flushing and flying debris my damage MEMS structure specially if already released Release may preferably be done after dicing to avoid MEMS damage Alternatively, Laser dicing may be used 43 Mostafa Soliman, Ph.D.

44 Hermetic packages are air tight and prevent diffusion of small molecules thus perfectly isolating MEMS structures from the surrounding ambient Hermetic packages can be made of ceramics, Silicon, glass Plastics and organic compounds allows diffusion of moisture Hermetic packages are expensive but enhance reliability and life time 44 Mostafa Soliman, Ph.D.

45 Die attach material has to address thermal management, electrical isolation and mechanical stress considerations Die attach material has to provide electrical isolation or conduction depending on the specific case It plays a role in thermal management and in providing good thermal expansion matching between package and die Die attach materials may be: Metal alloys / solders Organic adhesives, epoxies, silicones 45 Mostafa Soliman, Ph.D.

46 Thermosonic gold bonding simultaneously combines the application of heat, pressure, and ultrasonic energy to the bond area. Ultrasound causes the wire to vibrate, producing localized frictional heating to aid in the bonding process. Typically, the gold wire forms a ball bond to the aluminum bond pad on the die and a stitch bond to the package lead. The stitch bond is a wedge-like connection as the wire is pressed into contact with the package lead (typically gold or silver plated). The temperature of the substrate is usually near 150ºC The use of ultrasonic energy may cause MEMS structures and oscillate and may cause consequent damage 46 Mostafa Soliman, Ph.D.

47 Flip-chip bonding, as its name implies, involves bonding the die, top face down, on a package substrate. Electrical contacts are made by means of plated solder bumps between bond pads on the die and metal pads on the package substrate. The attachment is intimate with a relatively small spacing (50 to 200 μm) between the die and the package substrate. Unlike wire bonding which requires the bond pads to be positioned on the periphery of the die to avoid crossing wires, flip chip allows the placement of bond pads over the entire die Additionally, the effective inductance of each interconnect is minuscule because of the short height of the solder bump. The inductance of a single solder bump is less than 0.05 nh, compared to 1 nh for a 125 μm long and 25 μm diameter wire Alternatively, Flip Chip bonding can be used to bond two dies together 47 Mostafa Soliman, Ph.D.

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08 Solid State Sensors Microfabrication 8/22/08 and 8/25/08 Purpose of This Material To introduce the student to microfabrication techniques as used to fabricate MEMS Sensors Understand concepts not specifics

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Chapter 2 OVERVIEW OF MEMS

Chapter 2 OVERVIEW OF MEMS 6 Chapter 2 OVERVIEW OF MEMS 2.1 MEMS and Microsystems The term MEMS is an abbreviation of microelectromechanical system. MEMS contains components ofsizes in 1 micrometer to 1 millimeter. The core element

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

L5: Micromachining processes 1/7 01/22/02

L5: Micromachining processes 1/7 01/22/02 97.577 L5: Micromachining processes 1/7 01/22/02 5: Micromachining technology Top-down approaches to building large (relative to an atom or even a transistor) structures. 5.1 Bulk Micromachining A bulk

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

This Appendix discusses the main IC fabrication processes.

This Appendix discusses the main IC fabrication processes. IC Fabrication B B.1 Introduction This Appendix discusses the main IC fabrication processes. B.2 NMOS fabrication NMOS transistors are formed in a p-type substrate. The NMOS fabrication process requires

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

Regents of the University of California

Regents of the University of California Topography Issues Degradation of lithographic resolution PR step coverage, streaking Thickness differences pose problems for reduction steppers Direction of Spin PR PR PR Stringers Problematic when using

More information

Regents of the University of California 1

Regents of the University of California 1 Electroplating: Metal MEMS Nickel Surface-Micromachining Process Flow Photoresist Wafer Release Etchant Use electroplating to obtain metal μstructures When thick: call it LIGA Pros: fast low temp deposition,

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Semiconductor Manufacturing Process 10/11/2005

Semiconductor Manufacturing Process 10/11/2005 Semiconductor Manufacturing Process 10/11/2005 Photolithography Oxidation CVD PVD Photolithography The purpose of photolithography is to imprint the desired pattern of a micro component on a substrate,

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Chapter 7 Polysilicon and Dielectric Film Deposition

Chapter 7 Polysilicon and Dielectric Film Deposition Chapter 7 Polysilicon and Dielectric Film Deposition Professor Paul K. Chu Thin Films in Microelectronics Polycrystalline silicon or polysilicon Doped or undoped silicon dioxide Stoichiometric or plasma-deposited

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Wafer (1A) Young Won Lim 4/30/13

Wafer (1A) Young Won Lim 4/30/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Lecture 5: Micromachining

Lecture 5: Micromachining MEMS: Fabrication Lecture 5: Micromachining Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class E-beam lithography X-ray

More information

Mikrosensorer. Microfabrication 1

Mikrosensorer. Microfabrication 1 Mikrosensorer Microfabrication 1 Literature Introductory MEMS Fabrication and Applications Thomas M. Adams and Richard A. Layton Available as ebook on http://www.lub.lu.se/en/search/lubsearch.html This

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

INF5490 RF MEMS. LN02: MEMS Fabrication. Spring 2012, Oddvar Søråsen Department of Informatics, UoO

INF5490 RF MEMS. LN02: MEMS Fabrication. Spring 2012, Oddvar Søråsen Department of Informatics, UoO INF5490 RF MEMS LN02: MEMS Fabrication Spring 2012, Oddvar Søråsen Department of Informatics, UoO 1 Micromachining Today s lecture Important process steps General Summary: MEMS-specific steps Examples

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Today Bulk semiconductor growth Single crystal techniques Nanostructure fabrication Epitaxial

More information

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Top Side Conductor vacuum deposition Aluminum sputter deposit in Argon plasma CVC 601-sputter deposition

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts MEMS Fabrication Beyond Integrated Circuits MEMS Basic Concepts Uses integrated circuit fabrication techniques to make mechanical as well as electrical components on a single chip. Small size 1µm 1mm Typically

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO (glass) Major factor in making Silicon the main semiconductor Grown at high temperature in

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Making of a Chip Illustrations

Making of a Chip Illustrations Making of a Chip Illustrations 22nm 3D/Trigate Transistors Version April 2015 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information