Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Size: px
Start display at page:

Download "Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)"

Transcription

1 Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke Hashimoto, Daigo Saito, Hirokazu Nishimaki, Ryo Karasawa, and Hikaru Tokunaga Semiconductor Materials Research Department, Materials Research Laboratories, Nissan Chemical Industries, Ltd.635 Sasakura, Fuchu-machi, Toyama , Japan *endoutaka@nissanchem.co.jp In advanced lithography technology, high planarity (Spin-on-Carbon) materials which can planarize topography substrates are required in order to obtain enough process margin. We developed photo curing (P-) materials which can be cross-linked by short wavelength UV light, but not thermal process. The P- can achieve high planarization and good via filling because they have high reflow performance without viscosity increasing by baking process and almost no film shrinkage during the baking and photo curing process. The novel P- materials are suitable for very fine pattern manufacturing process as N5 generation which is needed planarization technology. Keywords: Spin-on-Carbon (), Planarization, Photo cross-link, Photo curing, UV, Reflow, Film shrinkage 1. Introduction Recently, very fine patterning with less than hpnm is created by multiple patterning with ArF immersion technology [1-3]. In this case, it is necessary to use under layer materials which can fill and planarize substrates having hole, trench and various topography in order to obtain enough focus margin in lithography step. Especially, (Spin-on-Carbon) materials which are applied multi-layer process are required not only dry etching resistance or optical control but also good via filling and high planarization on the substrates [4]. Conventional materials are used thermal curing system and mainly consist of resin, thermal cross-linker, acidic catalyst and solvent. The thermal curing are cross-linked between cross-linking sites in the resin and the cross-linker during baking and have resist solvent resistance. However, this kind of thermal curing system is difficult to achieve the good planarization because reflow of the resin was prohibited by viscosity increasing and causing the film shrinkage due to outgas during baking as shown in Fig. 1. Therefore, we newly developed photo curing (P-) which can be cross-linked Received April 11, 17 Accepted May 1, 17 by short wavelength UV light, but not thermal process. Especially, our P- materials are simple formulation which consists of only resin and solvent without cross-linker or other additives by putting photo cross-linking unit in the resin. The photo curing process flow is three steps of coating P-, reflow baking and UV exposure. In the reflow baking step, the P- can easily cause reflow of the resin because viscosity increasing which came from cross-link reaction is prevented. Moreover, they can avoid film shrinkage because of no cross-link by the baking. In the UV exposure step, there are almost no film shrinkage of the P- because they are preventable outgas or decomposition during photo curing. Therefore, we expect P- have capability of high planarization and good via filling compared to thermal curing as Fig. 1. This paper is described material design, photo curing performance and planarization of our P- and discussed applicability of P- for fabrication of very fine patterning in N5 generation.. Experimental.1. Material design and preparation The materials which being used in this paper listed in Table 1. T--1 is conventional thermal 373

2 J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Thermal Curing Process Curing step Photo Curing Process Reflow step Curing step Coating Coating UV area T Poor reflow, Xlink P Reflow, no Xlink Xlink, Keep flat Sub. area Big shrink No shrink Keep flat Tradeoff in planarization vs. Xlink Increasing viscosity during baking Film shrinkage by outgas during baking Poor Planarization No tradeoff in planarization vs. Xlink Xlink after reflow step (Not thermal curing) No film shrink by photo curing High Planarization Fig. 1. Comparison of thermal curing process and photo curing process. listed in Table 1. T--1 is conventional thermal curing and contains resin, thermal cross-linker and acidic catalyst. The T--1 can be crosslinked between cross-linking sites in the resin and the cross-linker by baking. On the other hand, P- -1, P-- and P--3 are our photo curing which have photo cross-linking units in the P- resin and the units can be cross-linked with each other by short wavelength UV light (VUV; wavelength less than nm). The photo cross-linking unit of P--1 is same as P--, but is difference from P--3. Meanwhile, chemical structure of core unit of P--1 is same as P--3, but is different from P--. P- -1 and P--3 have four the cross-linking units in a resin, but P-- only has two units. Propylene glycol mono methyl ether (PGME) and propylene glycol mono methyl ether acetate (PGMEA) were used as solvent system of each. spinning and baking at 1 o C/3 sec in the coater tool. Finally, the film thickness after the stripping test were again measured and film loss rate which means washed out film amount by the stripping test were calculated from the actual film thickness after UV exposure and the stripping test..3. Check of film shrinkage To evaluate film shrinkage of, each were coated at A film thickness on 1 inch Si wafer without baking by the above coater tool, and then were measured the film thickness before baking by the above film thickness measurement tool. In next step, the coated wafers were baked at 15 o C/6 sec, and then were measured film thickness after baking. Finally, film shrinkage rate which means decreased film amount by baking were calculated from the actual film thickness before and after baking. Moreover, film shrinkage rate by UV exposure was also calculated... Check of photo curing performance A confirmation method of photo curing performance was as the following. At first, each were spin-coated at A film thickness on 1 inch Si wafer by a coater tool (Lithius Pro, TEL), and then baked at 15 o C/6 sec. The whole wafer coated were irradiated by short wavelength UV under from mj/cm (without UV exposure) to 5 mj/cm dose conditions by UV exposure tool, and then measured the film thickness after UV exposure by optical interference film thickness measurement tool (Lamda Ace VM-31, DNS). Secondly, the coated wafer were worked stripping test as below. A resist solvent (PGME/PGMEA=7/3) was dipped on the film surface and kept for 6 sec, and then dried by.4. Check of reflow property Reflow performance of during baking was evaluated by glass transition temperature (Tg), rheology curve and reflow distance check on topography substrate. For the Tg, films of each resin which baked at less than 1 o C for solvent removal were measured by DSC(Q-, TA Instrument). For the rheology curve, each films which similarly baked at less than 1 o C were measured by rheometer (MCR1, Anton Paar). To measure reflow distance, each were coated at A film thickness on chipped topography substrate with 4um trench and 1nm step height first. Distance of from right wall of the trench to minimum of film thickness on the 374

3 J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Table 1. Material design and component of materials Curing type Component Resin Crosslinker Catalyst Solvent T1 Thermal ResinA CLA TAGA PGME/PGMEA P1 Photo Resin B (none) (none) P Photo ResinC P3 Photo ResinD ResinA ResinB ResinC ResinD Core unit a a e e e g ( n Core unit X X d f d Side chain e e e g b c ( g g Functional Unit Core unita, d, f: for etching resistance and n/k control Side chain unitb, c: for etching resistance n/k control Side chain unite, g: for photo crosslinking Thermal crosslinking site with crosslinker Photo crosslinking unit Photo crosslinking unit trench area was measured by AFM (Dimension Icon AFM, Bruker AXS) (See Fig. 3). Scanning area of AFM was 8um x 8um. Difference of the distance before baking (DBefore) and after baking at 15 o C/6 sec (DAfter) was defined as reflow distance that the film moved during baking..5. Check of planarity performance Planarity performance was investigated by using a topography wafer which has dense area with 5um trench and 1nm pitch, 8um big trench area and open area. Additionally, height of step of the topography is nm and distance between dense and open area is 1 um. Each were coated at 15A film thickness on the topography substrate, and then baked at 15 o C/6 sec. Besides, the P- coated substrates were irradiated by UV at 5 mj/cm by UV exposure tool. X-section of the substrates were observed before baking, after baking and UV exposure by SEM(S-48, Hitachi), and then were measured film thickness. The film thickness was defined as distance from interface of film and the substrate to top of the film. Film thickness bias of open and dense area is Bias-1 and film thickness bias of edge and center on the big trench is Bias-. 3. Results and discussion 3.1. Photo curing performance The film loss rate in Table shows resist solvent resistance of each. T--1 showed enough solvent resistance by baking because the film loss rate of T--1 was less than 1%. On the other hand, P--1, P-- and P--3 had none of solvent resistance by only baking. However, P- -1 showed solvent resistance by UV exposure at over 5mJ/cm. P-- and P--3 had enough solvent resistance at over 1 mj/cm and 3 mj/cm, respectively. Therefore, photo crosslinking units in P--1 resin can be photo crosslink at lower UV dose or higher photo reactivity than P--3. Besides, the number of photo crosslinking unit depends on photo curing performance because P--1 with four the units was easy to be photo curing compared to P-- with two the units. Basically, material design of P- is necessary to select suitable kind of photo curing unit and content of the unit in a resin. 3.. Film shrinkage The film shrink rate in Table shows film shrinkage of each before and after baking or UV exposure. T--1 showed 17% film shrinkage after baking. It is higher than the P-. Therefore, thermal curing as T--1 are easy to cause film shrinkage due to thermal cross-linking reaction with outgas during baking but P- can prevent film shrinkage. Film shrinkage rate of the P- after UV exposure showed very small. This means the P- have almost no film shrinkage by UV exposure. Basically, these results prove P- are effective for planarity improvement because P- are less affected by film shrinkage during baking and UV exposure compared to conventional thermal curing Reflow property Figure shows Tg of the resins. P--1 or P-- resins were lower Tg than T--1 and P--3. Low Tg resin as P--1 or P-- may help to cause big reflow during baking because P- have none of curing by the baking. Figure shows rheology curve of each. T- -1 increased viscosity due to thermal curing at around 1 o C. However, P--1 and P-- did not occur viscosity increasing until around o C and minimum viscosity got lower than T- -1. Especially, P--3 can be kept low viscosity until around 3 o C. Therefore, P--3 can also cause big reflow although it has 375

4 J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Table. Film loss rate by stripping test and film shrinkage rate by baking or UV exposure T1 P1 P P3 Bake oc/6s] UV Dose *Film loss rate **Film shrink rate [mj/cm] (solvent resistance) [%] [%] (noncured) (noncured) 5 94 (noncured) (noncured) (noncured) 3 5 <1 *Film loss rate: [(FTK after baking or exposure) (FTK after stripping)] / ( FTK after baking or exposure)*1 **Film shrink rate: [(FTK before baking) (FTK after baking)] / ( FTK after baking)*1 Fig.. Glass transition temperature (Tg) of resins (left) and rheology curve of (right). Fig. 3. Scanning image of film surface on topography substrate and reflow distance by AFM. 376

5 J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 Table 3. Planarity performance on topography substrate Bias Trench) [nm] Bias1 (Open/Dense) [nm] Before After After UV Exposure Before After After UV Exposure (None Bake) (15deg.C/6s) (5mJ/cm) (None Bake) (15deg.C/6s) (5mJ/cm) T P P P Test Substrate Bias1 Edge Bias 1um Center nm Si (SiO surface) Dense (T5P1) Open Big Trench (T8) Bias1: (FTK on open area) (FTK on dense area) Bias: (FTK on edge in big trench area) (FTK on center in big trench area) Fig. 4. X-SEM image of thermal curing (T--1) on topography wafer. Fig. 5. X-SEM image of photo curing (P--3) on topography wafer. high Tg. Figure 3 shows AFM scanning images and reflow distance when T--1 and P--3 were coated on topography substrate. The reflow distance of T-1 was -14um but P--3 was +7um. Therefore, T--1 showed poor reflow distance because viscosity increasing and film shrinkage outstrip reflow during baking. However, P--3 showed long reflow distance because viscosity increasing and film shrinkage could be prevented and big reflow cause by baking. Basically, these results suggest P- have capability of high 377

6 J. Photopolym. Sci. Technol., Vol. 3, No. 3, 17 planarization compared to thermal curing Planarity performance Table 3 shows film thickness bias on topography substrate in each step. Additionally, X-SEM images of T--1 are shown in Fig. 4 and P--3 are shown in Fig. 5. In case of T--1, open/dense bias (Bias-1) and was 83 nm and center/edge bias on big trench (Bias-) was 35 nm after baking. However, the both bias were not good enough for planarity performance although the bias improved compared to before baking. Therefore, it is difficult to achieve high planarization because reflow competitively occur together viscosity increasing and film shrinkage. On the other hand, the film thickness bias of P- -3 showed 16 nm at Bias-1 and 4nm at Bias- after baking. The both film thickness bias were very smaller than T--1 and showed good planarity performance in spite of bigger bias before baking. Besides, the both bias after UV exposure were almost same as after baking. The trend was similar to P--1 and P-- but the both bias were smaller before baking. Therefore, P- can achieve high planarization because of big reflow during baking. Moreover, the planarization was kept after photo curing because of almost no film shrinkage during UV exposure. Additionally, these P- also showed good via filling property without void on 5 nm trench patterns. 4. Conclusion We developed novel photo curing which applied photo cross-linking system by using short wavelength UV light in order to satisfy high planarity, but not thermal curing which applied conventional thermal cross-linking system. Conventional thermal curing are difficult to achieve good planarization because viscosity increasing cause poor reflow property and outgas which came from thermal cross-linker cause big film shrinkage during baking. However, our P- including photo cross-linking units in main resin can cause great reflow property for planarity improvement because viscosity increasing and film shrinkage can be prevented during baking. Moreover, P- can be kept high planarization after photo curing because film shrinkage can be also inhibited during UV exposure. Therefore, our examination results in this paper proved P- are useful under layer materials for multiple patterning process which is needed high planarity performance. In the future, we will continue to develop and provide new P- materials to meet the requirements of N5 generation and beyond device manufacturing. References 1. Y. Wei, M. Glodde, H. Yusuff, M. Lawson, S. Y. Chang, K. S. Yoon, C.-H. Wu, and M. Kelling, Proc. SPIE, 797 (11) 797L.. F. Iwao, S. Shimura, H. Kyouda, K. Oyama, S. Yamauchi, A. Hara, S. Natori, and H. Yaegashi, Proc. SPIE, 835 (1) K. Oyama, S. Yamauchi, A. Hara, S. Natori, and H. Yaegashi, Proc. SPIE, 868 (13) 868C. 4.Y. Someya, T. Shinjo, K. Hashimoto, H. Nishimaki, R. Karasawa, R. Sakamoto, and T. Matsumoto, Proc. SPIE, 835 (1) 835U. 378

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett,

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

HIGH-ASPECT-RATIO, ULTRATHICK, NEGATIVE-TONE NEAR-UV PHOTORESIST FOR MEMS APPLICATIONS

HIGH-ASPECT-RATIO, ULTRATHICK, NEGATIVE-TONE NEAR-UV PHOTORESIST FOR MEMS APPLICATIONS HGH-ASPECT-RATO, ULTRATHCK, NEGATVE-TONE NEAR-UV PHOTORESST FOR MEMS APPLCATONS M. Despont', H. Lorenz2, N. Fahrni2, J. Bruggerl, P. Renaud2 and P. Vettiger' lbm Research Division, Zurich Research Laboratory,

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc.

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc. Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series Toray Industries, Inc. 1 The features of LT series (1) Low temperature curable ( ~170 ) Less damage for weak semiconductor

More information

P4000 Thick Film Photoresist

P4000 Thick Film Photoresist D A T A S H E E T AZ Description AZ series photoresists provide unmatched capabilities in demanding applications requiring film thicknesses ranging from 3 to over 60 µm. These production proven photoresists

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Supporting Information

Supporting Information Copyright WILEY-VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2013. Supporting Information for Adv. Mater., DOI: 10.1002/adma.201300794 Highly Stretchable Patterned Gold Electrodes Made of Au Nanosheets

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging

Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging Journal of Photopolymer Science and Technology Volume 28, Number 1 (2015) 93 97 2015SPST Study of Adhesion Properties of Cu on Photosensitive Insulation Film for Next Generation Packaging Kenichi Iwashita,

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Development of Photosensitve Polyimide B-stage Sheet Having High Cu Migration Resistance

Development of Photosensitve Polyimide B-stage Sheet Having High Cu Migration Resistance Journal of Photopolymer Science and Technology Volume 30, umber 2 (2017) 181-185 C 2017SPST Development of Photosensitve Polyimide B-stage Sheet Having High Cu Migration Resistance Masao Tomikawa *, Kazuyuki

More information

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2015 Supplementary Information Fabrication of MoS 2 Thin Film Transistors via

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Progress in EUV resist development

Progress in EUV resist development Progress in EUV resist development T. Shimokawa, T. Kai, D. Shimizu, K. Maruyama, A. Saitou, Y. Hishiro, Semiconductor Materials Laboratory, JSR Corporation. JSR Micro, INC. 2008 International Workshop

More information

Surface Passivation Process Study with Polyimide for High Voltage IGBT

Surface Passivation Process Study with Polyimide for High Voltage IGBT 5th International Conference on Mechatronics, Materials, Chemistry and Computer Engineering (ICMMCCE 2017) Surface Passivation Process Study with Polyimide for High Voltage IGBT Guoqing Leng1,a), LI Li1,

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Three-dimensional SU-8 structures by reversal UV imprint

Three-dimensional SU-8 structures by reversal UV imprint Three-dimensional SU-8 structures by reversal UV imprint W. Hu, a B. Yang, C. Peng, and S. W. Pang b Solid State Electronics Laboratory, Department of Electrical Engineering and Computer Science, The University

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils Supporting Information Screen Printing of Highly Loaded Silver Inks on Plastic Substrates Using Silicon Stencils Woo Jin Hyun, Sooman Lim, Bok Yeop Ahn, Jennifer A. Lewis, C. Daniel Frisbie*, and Lorraine

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Department of rganic & Polymeric Materials, Graduate School of Science and Engineering, Tokyo Institute of Technology

More information

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II) Study of ADI (After Develop Inspection) n Photo Resist Wafers Using Electron Beam (II) Teruyuki Hayashi a, Misako Saito a, Kaoru Fujihara a,setsuko Shibuya a,y.kudou a,hiroshi Nagaike b Joseph Lin c,jack

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists Modeling anomalous depth dependent dissolution effects in chemically amplified resists Mosong Cheng, Jacek Tyminski*, Ebo Croffie, Andrew Neureuther Electronic Research Laboratory Department of Electrical

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Polymer-based Microfabrication

Polymer-based Microfabrication Polymer-based Microfabrication PDMS SU-8 PMMA Hydrogel 1 Soft Lithography Developed by Whitesides, et. al A set of techniques for microfabrication based on the use of lithography, soft substrate materials

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Revised: February 2005 CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process 1. Introduction The CYCLOTENE

More information

Application Notes: PixClear for OLED Internal Light Extraction Rev /4/17

Application Notes: PixClear for OLED Internal Light Extraction Rev /4/17 Pixelligent offers an OLED starter kit for OLED customers. It includes two solvent dispersion samples PCOPA-50-PGA and PCOPM-50-PGA, and two UV curable polymer formulations PCOPM-2-47-BPA, and PCOPR-2-47-BPA.

More information

Metal reduction at point-of-use filtration

Metal reduction at point-of-use filtration Metal reduction at point-of-use filtration Toru Umeda* a, Shusaku Daikoku a, Rao Varanasi b and Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki, Japan 3000315; b

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

High and Matched Refractive Index Liquid Adhesives for Optical Device Assembly

High and Matched Refractive Index Liquid Adhesives for Optical Device Assembly As originally published in the SMTA Proceedings High and Matched Refractive Index Liquid Adhesives for Optical Device Assembly Taro Kenmochi Kyoritsu Chemical Chiyodaku, Tokyo, Japan Abstract There is

More information

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Atsushi Sekiguchi, Chris A. Mack*, Mariko Isono, Toshiharu Matsuzawa Litho Tech Japan Corp., 2-6-6, Namiki, Kawaguchi,

More information

The effectively utilization of oxygen in the process of. photopolymerization

The effectively utilization of oxygen in the process of. photopolymerization The effectively utilization of oxygen in the process of photopolymerization Ya Wu, Jun Nie Beijing University of Chemical Technology, Beijing 100029 Abstract: Oxygen has long been considered to be unfavorable

More information

GLM General information. Technical Datasheet

GLM General information. Technical Datasheet GLM 2060 Nanocomposite SU-8-negative tone photo-epoxy for layers from 6.0 to 50µm Technical Datasheet Gersteltec Sarl. Générale Guisan 26, 1009, Pully Switzerland Switzerland / Israel / Taiwan Contact:

More information

Solvent pre-wetting as an effective start-up method for point-of-use filter

Solvent pre-wetting as an effective start-up method for point-of-use filter Solvent pre-wetting as an effective start-up method for point-of-use filter Toru Umeda* a, Shinichi Sugiyama, Takashi Nakamura, Makoto Momota b, Michael Sevegney c, Shuichi Tsuzuki, Toru Numaguchi a a

More information

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography Ramil-Marcelo L. Mercado, Joyce A. Lowes, Carlton A. Washburn, Douglas J. Guerrero Brewer Science, Inc., 2401 Brewer

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY 16 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY Tsung-Han Yu, Shenq-Yih Luo,

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Study on microprobe processing by LIGA on Si

Study on microprobe processing by LIGA on Si Study on microprobe processing by LIGA on Si Fundamental study for 3-D 3 D mold -Report 1-1 Teppei Kimura, JEM Japan (Japan Electronic Materials Corp.) Tadashi Hattori Himeji Institute of Technology in

More information

Supporting Information. Anti-Fogging/Self-Healing Properties of Clay- Containing Transparent Nanocomposite Thin Films

Supporting Information. Anti-Fogging/Self-Healing Properties of Clay- Containing Transparent Nanocomposite Thin Films Supporting Information Anti-Fogging/Self-Healing Properties of Clay- Containing Transparent Nanocomposite Thin Films Matt W. England, Chihiro Urata, Gary J. Dunderdale, and Atsushi Hozumi* National Institute

More information

Fabrication of sub-100nm thick Nanoporous silica thin films

Fabrication of sub-100nm thick Nanoporous silica thin films Fabrication of sub-100nm thick Nanoporous silica thin films Abstract M. Ojha, W. Cho, J. L. Plawsky, W. N. Gill Department of chemical and biological engineering, Rensselaer Polytechnic Institute Low refractive

More information

Metal reduction at bulk chemical filtration

Metal reduction at bulk chemical filtration Metal reduction at bulk chemical filtration Toru Umeda* a, Shusaku Daikoku a Shuichi Tsuzuki a and Tetsuya Murakami b a Nihon Pall Ltd., 46, Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki, Japan, 300-315,

More information

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan Advances in panel scalable planarization and high throughput differential seed layer etching processes for multilayer RDL at 20 micron I/O pitch for 2.5D glass interposers Hao Lu, Fuhan Liu, Venky Sundaram,

More information

A novel 248-nm wet-developable BARC for trench applications

A novel 248-nm wet-developable BARC for trench applications A novel 248-nm wet-developable BARC for trench applications Charles J. eef & Deborah Thomas Brewer Science, Inc., 2401 Brewer Dr., Rolla, M, 65401 USA ABSTRACT A novel polyamic acid based, 248-nm wet-developable

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Imprint lithography for curved cross-sectional structure using replicated Ni mold Imprint lithography for curved cross-sectional structure using replicated Ni mold Yoshihiko Hirai, a) Satoshi Harada, Hisao Kikuta, and Yoshio Tanaka Mechanical System Engineering, Graduate School of Engineering,

More information

Recent Innovations in ArF Sumiresist

Recent Innovations in ArF Sumiresist Recent Innovations in ArF Sumiresist Sumitomo hemical o., Ltd. IT-Related hemicals Research Laboratory Ichiki TAKEMOTO Kunishige EDAMATSU With the increased development in electronic equipment in our highly

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Self-aligned via and trench for metal contact in III-V semiconductor devices

Self-aligned via and trench for metal contact in III-V semiconductor devices Self-aligned via and trench for metal contact in III-V semiconductor devices Jun Fei Zheng a Intel Corporation, Santa Clara, California 95052 Hilmi Volkan Demir Nanotechnology Research Center, Department

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Supporting Information. Fabrication of Flexible Transparent Electrode with Enhanced Conductivity from Hierarchical Metal Grids

Supporting Information. Fabrication of Flexible Transparent Electrode with Enhanced Conductivity from Hierarchical Metal Grids Supporting Information Fabrication of Flexible Transparent Electrode with Enhanced Conductivity from Hierarchical Metal Grids Linjie Li, 1 Bo Zhang, 1 Binghua Zou, 1 Ruijie Xie, 1 Tao Zhang, 1 Sheng Li,

More information

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS Direct Analysis of Photoresist by ICP-MS Featuring the Agilent Technologies 7500s ICP-MS 1 Presentation Outline How is photoresist used? Analytical challenges Instrumentation developments Analytical approach

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Analysis on Radical Photo- and Thermal-Polymerization of Negative-Tone Acrylic Resist for High Resolution

Analysis on Radical Photo- and Thermal-Polymerization of Negative-Tone Acrylic Resist for High Resolution Journal of Photopolymer Science and Technology Volume 28, Number 1 (2015) 49 54 2015SPST Analysis on Radical Photo- and Thermal-Polymerization of Negative-Tone Acrylic Resist for High Resolution Emiko

More information

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 3, June 2005, p. 1191-1195 Invited lecture PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION J. Ihlemann * Laser-Laboratorium Göttingen e.v.,

More information

/15/$ IEEE Electronic Components & Technology Conference

/15/$ IEEE Electronic Components & Technology Conference Demonstration of 2µm RDL Wiring Using Dry Film Photoresists and 5µm RDL Via by Projection Lithography for Low-cost 2.5D Panel-based Glass and Organic Interposers Ryuta Furuya*, Hao Lu**, Fuhan Liu**, Hai

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Hitachi Review Vol. 55 (2006), No. 2 83 Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Takashi Tsutsumi Masanori Kadotani Go Saito Masahito Mori OVERVIEW: In regard to

More information

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 539-544 C 2017SPST Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Ichiro

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2100 and SU-8 2150 www.microchem.com SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining and

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Technical Data December 17, 2003 Three Bond Co., Ltd.

Technical Data December 17, 2003 Three Bond Co., Ltd. Technical Data December 17, 2003 Three Bond Co., Ltd. ThreeBond 3114B UV-curing epoxy resin 1. Outline ThreeBond 3114B is a non-solvent UV-curing resin whose main component is epoxy resin. Since it cures

More information

AR2 and AR3 DUV ANTI-REFLECTANTS

AR2 and AR3 DUV ANTI-REFLECTANTS AR2 and AR3 DUV ANTI-REFLECTANTS AR2 and AR3 DUV Anti-Reflectants are organic, thermally cross-linking bottom anti-reflectants designed to provide outstanding reflection control under DUV photoresists

More information

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Sasaki and Tani: Via Formation Process for Smooth Copper Wiring (1/6) [Technical Paper] Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Shinya Sasaki and Motoaki

More information

Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials

Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials Hiroki Tokoro*, Takako Ishikawa, Nobuyuki Koike, Yohzoh Yamashina DIC Corporation, 12 Yawatakaigan-dori,

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

Study of the resist deformation in nanoimprint lithography

Study of the resist deformation in nanoimprint lithography Study of the resist deformation in nanoimprint lithography Yoshihiko Hirai, a) Masaki Fujiwara, Takahiro Okuno, and Yoshio Tanaka Department of Mechanical System Engineering, Graduate School of Engineering,

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information