SURFACE MICROMACHINING

Size: px
Start display at page:

Download "SURFACE MICROMACHINING"

Transcription

1 SURFACE MICROMACHINING Features are built up, layer by layer on the surface of a substrate. Surface micromachined devices are much smaller than bulk micromachined components. Nature of deposition process height of features. LPCVD poly-si films can be only a few microns high. So surface micromachining cannot be useful in some sensors eg : accelerometers, since small proof mass, but ADLX05 accelerometer-( commercial) fabricated by surface micromachining. It has a proof mass of 0.3µg. LPCVD poly Si requires high temp annealing at 580 C to convert amorphous to poly. Mechanical properties of thin films Adhesion. Low residual stress. Low pin hole density. Good mechanical strength and chemical resistance. Film characteristics depends upon Deposition process. Growth conditions Post-deposition thermal processing (annealing). Orientation of the substrate. (surface, crystallinity ). Definitions: Structural layer: the layer of thin film material with which the microstructures are made of. Should have physical and chemical properties that are suitable for the desired application Mechanical properties such as high yield and fracture stresses, minimal creep and fatigue, and good wear resistance Sacrificial Layer: The layer of material used during the fabrication process to deposit microstructures. These are removed towards the end of the fabrication. So, the layer has no role in the operation of the device. Good mechanical properties so that device does not fail while fabrication Good adhesion Low residual stresses Polysilicon is usually used as a structural material. Disadvantages of poly Si (over single crystal Si) Lower yield strength (2 to 10*less) Lower piezo resistivity (less useful in sensors) Significant variation in the Young s modulus(& hence the performance of the device) Stiction. Advantages: Non-crystallinity o simpler design, o less of dimensional uncertainities. Note : Thin films have very high surface to volume ratio. Therefore properties are influenced more by surface properties.

2 Comparison of Bulk micromachining with surface micromachining Bulk Micromachining Large Surface Micromachining Small Size, thickness (and mass) of features Use of wafers Both sides Multiple layers on one side Vertical dimensions One or more wafer thickness Limited by thickness of deposited layers (~2µm) Wafer bonding Required Usually not used Common sensing mechanism Piezoresistive/capacitive Resonant/capacitive Criticality towards end of Thinned wafers may be process fragile Integration with IC technology Not very much Can be made IC compatible Utmost cleanliness required to protect the structure Comparison of bulk micromachined and surface micromachined absolute pressure sensors equipped with piezoresistive elements. ( Top ) Bulk micromachining in single-crystal Si. (Bottom ) Surface micromachining with poly-si.

3 Process sequence for a cantilever by surface micromachining Basic surface micromachining process sequence. ( A ) Spacer layer deposition ( the thin dielectric layer is not shown ). (B) Base sputtering with mask 1. (C) Microstructure layer deposition. (D) Pattern microstructure with mask 2. (E) Selective etching of spacer layer. 1. Sacrificial layer ( spacer layer/ base) is deposited on Si substrate coated with a dielectric buffer/ isolation layer. Phosphosilicate glass ( PSG ) is a good choice for sacrificial layer.(etches faster than SiO 2 in HF) 2. Mask 1 Base is patterned with a mask, windows are opened up in the sacrificial layer. 3. Structural thin film ( poly/metal/alloy ) is conformably deposited. 4. Poly Si is annealed in a furnace at 1050 C in N2 for 1 hr to reduce stress. (RTA can also be used.) 5. Mask2 Structural layer is patterned.( dry etching is CF 4 +O 2 or CF 3 Cl +Cl 2 plasma ) 6. Selective wet etching of sacrificial layer is 49% HF Free standing micromechanical structure. Fabrication steps details 1. Pattern transfer to SiO 2 buffer layer. 1. Blanket n+ diffusion of the Si substrate to define a ground plane. 2. Passivation of the substrate with a 0.15µm thick LPCVD nitride on a 0.5µm thermal oxide. 3. To pattern thin layer : ( for contact pads ) a. 1µm thick resist. b. Isotropic etch with buffered HF ( 5 parts NH 4 F + 1 part HF )SiO nm/min, c. etch process is monitored optically by observing hydrophobic / hydrophilic nature. d. Contact pad will have dimension L m +2 t SiO 2 Lm = mask dimension Resist is stripped 2. Base layer ( sacrificial ) deposition and etching 1. LPCVD of PSG 2µm. 2. PSG by adding phosphorous to SiO 2 improved etch rate Controlled window taper. Easier to make poly layer.

4 3. PSG is densified at 950 C for 30min Conductive ( phosphorous goes up as dopant ) Windows in the base layer for anchoring structures. 3. Deposition of structural material by CVD.(or sputtering PVD) Poly Si : LPCVD ( Pa) in a furnace at 600 C from pure Silane. SiH 4 Si+2H 2 Typical process conditions are 605 C, 73 Pa ( 550 mtorr ). Flow rate : 125 sccm 100Å/min. To make the structure conductive, dopants are introduced (along with Silane) or by ion implantation. Other structural materials : Al, SiO 2, Si 3 N 4, Silicon oxynitride, polyimide, diamond, SiC, sputtered Si, GaAs, Tungsten, α-si:h, Ni, W. 4. Structures are patterned by RIE in SF 6 plasma. 5. Selective etching of spacer material. Structures are freed from substrate by undercutting of the sacrificial layer. Immersed in HF solution to remove sacrificial layer, PSG is removed by concentrated/ dilute / buffered HF. To shorten etch time, extra apertures are usually provided in the structure. Thicker layers etch faster. Material combination for etchant / spacers /structures Etch rates in 1:1 HF: HCl for various types SiO 2 Thin film properties to be considered Adhesion ~ Very important requirement, for performance and reliability of IC s. Tests: Scotch tape test Abrasion method.

5 Scratching. Pulling. Bending. Factors affecting adhesion: Cleanliness of the substrate. Surface roughness more surface area. A layer of an oxide forming element improves adhesion since Cr, Ti, Al etc are used as anchors for subsequent metallization. Stress in thin film It causes Film cracking. Delamination. Void formation. due to mismatch of thermal expansion itself. Non-uniform plastic deformation. Substitutional / interstitial impurities. Growth process. Al films are usually stress free. Tungsten accumulates more stress when sputter deposited. Common Material Systems Poly-Si/SiO 2 LPCVD deposited poly as structural layer Thermal or LPCVD oxide as sacrificial layer Oxide dissolves in HF, and not poly. Both materials are used in IC fabrication. So deposition and etching technologies are matured Poly has good mechanical properties. Its electrical properties can be improved by doping Material systems are compatible with IC processing Along with these, nitride can be used for insulation Silicon Nitride/Poly-Silicon LPCVD nitride is used as structural layer; Poly Si as sacrificial layer Anisotropic etchants such as EDP r KOH can dissolve poly. Tungsten/SiO 2 CVD tungsten as the structural layer; Oxide as sacrificial layer HF for etchant Polyimide/Aluminum Polyimide as structural layer, aluminum as sacrificial layer Acid based etchants to etch aluminum Polyimide has small elastic modulus Can take large strains Both can be fabricated at low temperatures <400 C Stiction during release Large area structures tend to deflect through stress gradients or surface tension induced by liquids and attach to the substrate / isolation layer during the final rinsing and drying step. Stiction may be related to o hydrogen bonding. o Residual contamination. o Vander waal s forces.

6 Solutions : Creating stand-off bumps on the underside of Poly Si. Use of sacrificial polymer columns ( along with oxide ) use isotropic oxygen plasma to etch the polymer after oxide etch. Reduce surface tension of the final rinse solution. Freeze drying. Super critical drying CO 2 at 35 C, 1100 psi. In-use stiction Attempted solutions By forming bumps. Roughening opposite surface faces. Making Si surface hydrophobic. Sacrificial wet etch systems for III-V compound heterostructures Stopping Layer Sacrificial Layer Etchant Selectivity, etch rates a [µm/min] GaAs Al x Ga 1 x As, x 0.5 HF:H 2 O cs b and high etch rates Al 0.5 In 0.5 P HCl:H 2 O cs and high etch rates In 0.5 Ga 0:5 P HCl:H 2 O cs and high etch rates Al 0.5 Ga 0.5 P HF:H 2 O cs, 0.3 Al x Ga 1 x As, GaAs NH 4 OH:H 2 O 2 > 100, 5 (spray) x 0.40 Al 0. 3 Ga 0.7 As GaAs succinic acid: NH 4 OH cs, 0.2 GaAs C 6 H 8 O 7 : H 2 O 2 : H 2 O 116, 0.3 In 0.2 Ga 0.8 As C 6 H 8 O7 : H 2 O 2 : H 2 O 121, 0.3 AlAs In 0.53 Ga 0.47 As succinic acid: NH 4 OH > 1100 c, 0.1 In 0.53 Al 0.47 As do > 550 c, 0.06 InP In 0.53 Ga 0.47 As FeCl 3 :H 2 O cs(?), 0.7 C6H 8 O 7 : H 2 O2 : H 2 O 473, 0.2 In 0.53 Al 0.47 As C 6 H 8 O 7 : H 2 O 2 : H 2 O 102, 0.02 GaAs C 6 H 8 O 7 : H 2 O 2 : H 2 O 960, 0.3 Al 0.3 Ga 0.7 As C 6 H 8 O 7 : H 2 O 2 : H 2 O 486, 0.2 In 0.53 Ga 0.47 As HF:H 2 OO 2 :H2O cs(?) and slow etching In 0.53 Ga 0.47 As In 0.53 Ga 0.13 Al 0.34 As, HCl:H 2 O > 329 c, 0.1 In 0:53 Al 0:47 As HCl:H 2 O > 1944 c, 0.6 InP HCl:H 2 O cs and high etch rate InAs AlSb HF cs and high etching rate Al 0.5 Ga 0.5 Sb InAs C 6 H 8 O 7 : H 2 O 2 : H 2 O > 3850 c, 0.1 GaAs C 6 H 8 O 7 : H 2 O 2 : H 2 O > 13,650c, 0.3 GaAs 0.85 Sb 0.15 C 6 H 8 O 7 : H 2 O 2 : H 2 O > 3789 c, 0.1 a The etch rates are most often given for the etch rate down into the {100} plane, and can only serve as guidance. In some cases the anisotropy may be very large. b Here, complete selectivity (cs) means selectivity above In these cases, selectivity may, in practice be regarded as complete in nearly all technological applications. c complete selectivity approached.the measurements were made within this accuracy.

7 Wafer Bonding Bonding can be used to assemble individually micromachined components. This offers the possibility of 3D structures which are even thicker than one wafer. Anodic Bonding Anodic bonding is also called field-assisted thermal bonding, electrostatic bonding, etc. This technique is typically done between a sodium glass and silicon for MEMS. For the anodic bonding, a cathode and an anode are attached to the glass (or silicon with glass thin coating) and silicon wafer, respectively, voltages applied ranged from 200 to 1000 V. At the same time, the anode is put on a heater providing the bonding temperature around C. During the bonding, oxygen ions from the glass migrate into the silicon resulting in the formation of silicon dioxide layer between silicon wafer and glass wafer and form the strong a strong and hermetic chemical bond. The advantage of anodic bonding for MEMS is that the low temperature used can ensure the metallization layer (Aluminum) could withstand this temperature without degradation. Anodic bonding is also used to seal two silicon wafers together by using a thin sputter-deposited glass layer. The equipment used in this case is basically a heat chuck element with an electrode capable of supplying high voltage across the structure to be bonded. The system automatically controls the temperature and power supply during the bonding process. Cathode - V + Glass Silicon Anode (heater) After surface cleaning and polishing, one of the wafers (referred to here as the top wafer) is initially coated with a glass film a few microns thick. The top wafer is placed on top of a second silicon wafer (referred to as the support wafer) for these two wafers to be bonded. The support wafer rests on an aluminum chuck. The two wafers are usually sealed together by anodic bonding at temperatures less than 400 C with an electrostatic DC voltage of 50 to 200 V. The negative electrode is connected to the top sputter-coated wafer. The voltage should be applied over sufficiently long time (10 to 20 minutes) to allow the current to reach a minimum steady state level. This bond process usually takes place in air at atmospheric pressure. Direct Bonding Direct bonding is also called silicon fusion bonding, which is used for silicon-silicon fusion bonding. Direct bonding is based on a chemical reaction between OH-groups present at the surface of native silicon or grown oxides covering the wafers. The direct bonding usually follows three steps: surface preparation, contacting and thermal annealing. The surface preparation step involves cleaning the surfaces of the two wafers to form a hydrate surface. The wafer surface should be mirror smooth, the roughness should be no greater than 10 A, and the bow of a 4 wafer should be less than 5 micron to achieve the necessary flatness. Following this preparation, the wafers are aligned and contacted in a clean room environment by gently pressing the two wafers at the surface central point. The surface attraction of the two hydrated surfaces creates an intimate contact over the entire wafer surfaces. At room temperature, these wafers adhere via hydrogen bridge bonds of chemisorbed water molecules that subsequently react during the annealing process to form Si-O-Si bonds. Consequently wafer pre-treatment procedures such as hydrophilization steps (wet cleaning processes, plasma hydrophilization), assist the bonding process. The final step in direct bonding is to anneal the bonding from the room temperature to 1200 C. This anneal process increases the bond strength by more than one order of magnitude at the temperature as high as C. But the high temperature annealing is not allowed for the metalized wafers. The direct bonding prevails in the high-strength bonding, and the devices dimensions design could be scaled down if direct bonding approaches other than anodic bonding. Some low temperature direct bonding processes are to be further developed. In the last decade several groups have demonstrated that the fusion of hydrophilic silicon wafers is possible for obtaining silicon-on-insulator (SOI) materials. Since then, wafer bonding techniques have found various applications in the field of microelectronics such as in static random access memory (SRAM), CMOS, and

8 power devices. For micromechanical applications, fusion bonding rendered possible the fabrication of complex structures by combining two or more patterned wafers. This section describes the principles and processes of wafer fusion bonding for fabrication of MEMS device. Three ranges of annealing temperature are of interest in wafer bonding: 1. Temperature less than 450 C for post-metallization wafers. 2. Temperature less than 800 C for wafers with diffusion dopant layers (e.g., p+ etch-stop layers). 3. Temperature greater than 1000 C for wafer bonding before processing. According to the reaction mechanism, annealing at temperatures above 1000 C for several hours should result in an almost complete reaction of the interface. A 1000 C anneal for about 2 hours gives sufficiently high bond strength for all subsequent treatments; it is not possible to separate the two bonded Si wafers without breaking the silicon. Although high temperature annealing increases the strength of the bond, this step (usually if the temperature is above 800 C) may introduce problems, such as doping profile broadening, thermal stresses, defect generation and contamination. Annealing also prevents the use of bonding technology for compound semiconductor materials since their dissociation temperature is often low. In addition, post-metallization bonding also requires bonding temperatures that are less than 450 C since most of the common metals used in device fabrication melt below this temperature. Therefore, in order to make full use of the potential provided by wafer bonding for microstructures, low temperature bonding methods have to be developed. A major concern of all bonding processes is the presence of non-contacting areas which are generally called voids. Voids are mainly caused by particles, organic residues, surface defects, and inadequate mating. Therefore both the surfaces being fusion-bonded have to be perfectly smooth and clean since the smallest of particles could cause large voids. Optimized processing includes wafer surface inspection, surface pre-treatment (hydrophilization, cleaning), and mechanically controlled, aligned mating in a particle-free environment. Intermediate layer assisted bonding This type of bonding for MEMS requires an intermediate layer, which can be metal, polymer, solders, glasses, etc., to fulfill the bonding between wafers. One of the earliest wafer bonding eutectic bonding utilized Au as the intermediate layer for Si-Si bonding for pressure senso. The Au-Si eutectic bonding takes place at 363 C, well below the critical temperature of metallized Al layer. But the stress generated during bonding was found significant and introduce the sensor drift. Polymers as intermediate layer for bonding prevails in very low temperature, reasonable high strength, no metal ions present, low stress due to the elastic property of polymers, etc. Usually, UV photoresists such as polyimide, AZ-4000, SU-8, PMMA, and other UV curable cross linked polymer. The disadvantage is that the bonded device with polymer may not hold the hermetic sealing performance due to the relatively high permittivity of polymers. Glasses with low melting temperature as intermediate layer for the bonding is also demonstrated, where a layer of glass frit is usually deposited on the silicon wafer. The flatness of the deposited frit layer is critical to obtaining uniform, strong, low-stress bonding. The screen printing of glass frit was used for pressure sensor bonding and exhibit good performance. Bonding of Silicon-based Materials Fusion bonding of polysilicon, silicon dioxide, or silicon nitride to silicon proceeds in a manner similar to silicon-to-silicon bonding. For examples, to bond polysilicon to silicon, a polishing step for the two surfaces to be bonded is necessary to produce two smooth defect-free surfaces. The bonding mechanism is mostly identical to silicon-to-silicon fusion bonding in that in both cases Si-OH groups are present at the surface. Thus pretreatment (hydrophilization) and annealing conditions are similar. Because of the dissimilar mechanical characteristics of the different bonded materials, the yield of void free wafers can be significantly reduced by wafer bow or defects caused by stress during thermal treatment. Bonding of wafers covered with a thin thermal oxide or a thin silicon nitride results in homogenous bonded wafers, while oxides with thicker oxide (or nitride films) generally developed voids. High Aspect Ratio Processes: LIGA Process Even as miniaturization is immensely increased by silicon surface micromachining, the small sizes/masses created are often insufficient for viable sensors and, particularly, actuators. The problem is most acute in capacitive mechanical micro sensors and capacitively-driven micro actuators because of the low coupling capacitances. Deep etching techniques, such as LIGA, have been developed in order to address this problem.

9 High aspect raio small lateral dimensions compared to thickness Thick structures offer better rigidity in the direction normal to the plane of the substrate. LIGA is a German acronym for Lithographie, Galvanoformung, Abformung (lithography, galvanoforming, molding). This versatile technique was developed by the research Center Karlsruhe (Germany) in 1986 using X- ray lithography for mask exposure, galvanoforming to form the metallic parts and molding to produce microparts with plastic, metal, ceramics, or their combinations. A schematic diagram of the LIGA process flow is shown in figure below. The X-ray LIGA relies on synchrotron radiation to obtain necessary X-ray fluxes and uses X-ray proximity printing. Inherent advantages are its extreme precision, depth of field and very low intrinsic surface roughness. With the LIGA process, microstructures height can be up to hundreds of microns to several millimeters, while the lateral resolution is kept at submicron due the advanced X-ray lithography. X-ray lithography for various feature sizes. Photon energy range Exposable resist (PMMA) thickness Membrane thickness Low aspect ratio nano structures High aspect ratio nano structures High aspect ratio micro structures High aspect ratio cm structures 500 ev 2 kev 2 5 kev 4 15 kev >15 kev <5 µm <50 µm <1 mm <2 cm SiC: 2 µm Diamond: 5 µm Be: 20 µm Be: 50 µm D263: 5 µm Be: 300 µm D263: 15 µm Be: 500 µm D263: 50 µm Absorber (Au, W) nm 500 nm to µm µm thickness 10µm Proximity contrast <10 db db db >20 db Development time s min min h h day days Application Rapid mass production of nanostructures 2D photonic crystals Micromechanics, micro-optics Various materials can be incorporated into LIGA process allowing electric, magnetic, piezoelectric, optic, insulating properties of sensors and actuators with a high-aspect ratio, which are not possible to make with the silicon based processes. Besides, by combining the sacrificial layer technique and LIGA process, advanced MEMS with moveable microstructures can be built (see figure). However, the high production cost of LIGA process due to the fact that it is not easy to access X-ray source limits the application of LIGA. Another disadvantage of LIGA process relies on that fact that structures fabricated using LIGA is not truly three dimensional, because the third dimension is always in a straight feature. The quality of fabricated structures often depends on secondary effects during exposure and effects like resist adhesion. A similar technique, UV- LIGA, relying on thick UV resists is useful fabrication with less precision. Modulating the spectral properties of synchrotron radiation, 3-D components with different size regimes can be fabricated using X-ray lithography. Considerations for these cases are shown in the Table.

10 PMMA is used as the x-ray resist. Wavelength of x-ray ~ 0.2nm Resist layre can be made as thick as 1mm in one exposure. So structures with thickness of several hundred microns, and aspect ratio of 100 can be achieved Synchrotron is a VERY expensive source for high energy x-ray. PMMA is used as a plastic template to plate metal through the opening Subsequest production be replicating cost effective mass production is possible. Plastic microstructures can be fabricated. LIGA Process LIGA based fabrication procedures of various systems for micromechanics, (such as micromotors, microsensors, spinnerets, etc.) and micro-optics, micro-hydrodynamics, microbiology, medicine, biology, and chemistry (microchemical reactors) are under various stages of development. A comparison of LIGA with bulk and surface micromachining technologies used in MEMS is given below.

11 Various technologies used in MEMS fabrication. Bulk (100) Surface LIGA wafer Maximum structure thickness Wafer thickness <50µm <500µm Planar geometry Rectangular Unrestricted Unrestricted Minimum planar feature size 1.4 x depth 1µm 3µm Side-wall features slope Limited by dry etch 0.2µm runout over 400µm Surface and edge definitions excellent Mostly Very good adequate Material properties Very well Mostly Well controlled controlled adequate Integration with electronics Demonstrated Demonstrated Difficult Capital investments and cost Low Medium High Combination of LIGA process and sacrificial layer process.

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

4. Process Integration: Case Studies

4. Process Integration: Case Studies Case Study #2: FCantilevered Microgripper Surface Machined MEMS Case Study #2: FCantilevered Microgripper Sandia Lucent Sandia Integrated Accelerometers Optomechanical Systems Integrated Sensors 1 Bulk

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

Chapter 2 OVERVIEW OF MEMS

Chapter 2 OVERVIEW OF MEMS 6 Chapter 2 OVERVIEW OF MEMS 2.1 MEMS and Microsystems The term MEMS is an abbreviation of microelectromechanical system. MEMS contains components ofsizes in 1 micrometer to 1 millimeter. The core element

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

L5: Micromachining processes 1/7 01/22/02

L5: Micromachining processes 1/7 01/22/02 97.577 L5: Micromachining processes 1/7 01/22/02 5: Micromachining technology Top-down approaches to building large (relative to an atom or even a transistor) structures. 5.1 Bulk Micromachining A bulk

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08 Solid State Sensors Microfabrication 8/22/08 and 8/25/08 Purpose of This Material To introduce the student to microfabrication techniques as used to fabricate MEMS Sensors Understand concepts not specifics

More information

Mikrosensorer. Microfabrication 1

Mikrosensorer. Microfabrication 1 Mikrosensorer Microfabrication 1 Literature Introductory MEMS Fabrication and Applications Thomas M. Adams and Richard A. Layton Available as ebook on http://www.lub.lu.se/en/search/lubsearch.html This

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts MEMS Fabrication Beyond Integrated Circuits MEMS Basic Concepts Uses integrated circuit fabrication techniques to make mechanical as well as electrical components on a single chip. Small size 1µm 1mm Typically

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

Micromachining AMT 2505

Micromachining AMT 2505 Micromachining AMT 2505 Shanmuga Raja.B (BVB0912004) Module leader : Mr. Raja Hussain Introduction Micromachining are inherently connected to the evolution of Micro Electro Mechanical Systems (MEMS). Decades

More information

Regents of the University of California 1

Regents of the University of California 1 Electroplating: Metal MEMS Nickel Surface-Micromachining Process Flow Photoresist Wafer Release Etchant Use electroplating to obtain metal μstructures When thick: call it LIGA Pros: fast low temp deposition,

More information

Regents of the University of California

Regents of the University of California Topography Issues Degradation of lithographic resolution PR step coverage, streaking Thickness differences pose problems for reduction steppers Direction of Spin PR PR PR Stringers Problematic when using

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information. 1 Introduction 1.1 Overview of MEMS fabrication Microelectromechanical systems (MEMS) fabrication developed out of the thin-film processes first used for semiconductor fabrication. To understand the unique

More information

Lecture 5: Micromachining

Lecture 5: Micromachining MEMS: Fabrication Lecture 5: Micromachining Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class E-beam lithography X-ray

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Dr. Lynn Fuller Webpage:

Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Microelectromechanical Systems (MEMs) Process Integration Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Semiconductor Manufacturing Process 10/11/2005

Semiconductor Manufacturing Process 10/11/2005 Semiconductor Manufacturing Process 10/11/2005 Photolithography Oxidation CVD PVD Photolithography The purpose of photolithography is to imprint the desired pattern of a micro component on a substrate,

More information

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Department of Mechanical Engineering University of Colorado Boulder, CO 80309-0427 leeyc@colorado.edu September 2, 2008 1 Three

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Surface

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Bulk

More information

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer Thomas M. Adams Richard A. Layton Introductory MEMS Fabrication and Applications Springer Contents Preface xiü Part I Fabrication Chapter 1: Introduction 3 1.1 What are MEMS? 3 1.2 Why MEMS? 4 1.2.1. Low

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information