Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography

Size: px
Start display at page:

Download "Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography"

Transcription

1 Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography Falco C. M. J. M. van Delft a) and Jos P. Weterings Philips Research Laboratories, Prof. Holstlaan 4, 5656 AA Eindhoven, the Netherlands Anja K. van Langen-Suurling and Hans Romijn DIMES, Delft University of Technology, P.O. Box 5053, 2600 GB Delft, the Netherlands Received 1 June 2000; accepted 23 August 2000 A bilayer resist system, consisting of hydrogen silsesquioxane HSQ as negative tone electron e -beam resist top coat and hard baked novolak resist as bottom coat, has been investigated for its ability to yield high aspect ratio nanoscale structures. For comparison, single layer HSQ hard mask has been investigated for its resolution, contrast, and process latitude. In single layer HSQ, dense lines and spaces 1:1 have been resolved down to 20 nm and single lines have been obtained with widths less than 15 nm. Processing conditions which result in higher contrasts in HSQ also result in higher horizontal contrasts, i.e., in poorer process latitudes; this effect has previously been observed for other negative tone e-beam resists as well. In the bilayer combination, HSQ allows nanoscale structures with an aspect ratio exceeding 15 to be etched in hard baked novolak resist. Single lines with 800 nm height and 40 nm width, semidense lines and spaces 1:2 with 155 nm height and 25 nm width, and dense lines and spaces 1:1 with 130 nm height and 40 nm width have been patterned in this bilayer system. Both the single layer HSQ and the HSQ/novolak bilayer system appear to be suitable as e-beam resists for research on nanoscale gates in complementary metal oxide semiconductor CMOS and other devices American Vacuum Society. S X I. INTRODUCTION In order to obtain deep structures in a device, plasma etching needs a thick, perpendicularly structured resist layer. Especially for high resolution work in electron e -beam lithography, the use of thick single layers of high contrast resist is hampered by the electron forward scattering. The use of bilayer resists or top surface imaging techniques can solve this problem and allows high resolution structures to be placed over topography as well. 1 Reproducible linewidths smaller than 30 nm are beyond the limits of present-day chemically amplified resists. Hydrogen silsesquioxane (HSiO 3/2 ) 8 HSQ has been reported by Namatsu et al. to behave as a negative tone e-beam resist, yielding 20 nm wide single lines with small linewidth fluctuations, due to its small molecule size. 2 In the work presented here, a bilayer resist system has been tested, using HSQ as an e-beam resist top coat and hard baked novolak resist as a bottom coat. The latter is structured by means of O 2 reactive ion etching RIE with the cross-linked SiO 2 network of the exposed HSQ as a mask. The hard baked novolak can be used as a conventional mask in subsequent dry etching steps. The resolution, contrast, and process latitude of single layer HSQ, which can be used directly as a hard mask, have been determined as well, both as a reference for the bilayer system and in order to further explore the limits of HSQ itself. II. EXPERIMENTS Silicon wafers were coated with trichloro phenyl silane primer in a vacuum vessel and baked for 120 s at 200 C on a Electronic mail: Falco.van.Delft@philips.com a hotplate. Novolak solutions in ethyl lactate HPR504 from Olin were, if necessary, diluted with ethyl lactate in order to obtain the desired thickness by means of spin coating on a CONVAC spinner. The standard solution resulted in a 900 nm thick layer after spinning for 45 s at 5000 rpm. The novolak layer was baked on hotplates consecutively for 120 s at 100 C, 120 s at 200 C, and 120 s at 250 C. For obtaining very thick layers, these spinning and baking steps were repeated several times. HSQ solutions in methyl isobutyl ketone MIBK FOx-12, Dow Corning were, if necessary, diluted with MIBK in order to obtain the desired layer thickness by means of spin coating on the CONVAC spinner. The FOx-12 solution resulted in a 140 nm thick layer after spinning for 45 s at 5000 rpm. The HSQ layer was prebaked on hotplates consecutively for 120 s at 150 C and 120 s at 220 C. The wafers were exposed in Philips-Leica Electron Beam Pattern Generators at 50 kv 4V-HR at Philips Research Eindhoven PRE with 20 pa beam current 15 nm spot size or at 100 kv 5HR100FEG at DIMES Delft with 680 pa beam current 5 nm spot size. Test structures of crossing lines and spaces were written at various exposure doses. 3 The wafers were developed by manual immersion at 20 C in 0.26 N tetramethyl ammonium hydroxide TMAOH developers PD523 JSR Electronics at PRE and MF322 Shipley at DIMES for 60 s unless otherwise stated, rinsed in 1:9 developer:h 2 O for about 5 s, 3 rinsed in demineralized water, and blown dry with N 2. In a few tests, the development step was performed in an ultrasonic bath. While using the structured HSQ layer as a mask, the novolak layer was etched by means of a 10 sccm 2 Pa O 2 plasma at 100 W power and 800 V bias Alcatel GIR-300 at PRE and 3419 J. Vac. Sci. Technol. B 18 6, NovÕDec XÕ2000Õ18 6 Õ3419Õ5Õ$ American Vacuum Society 3419

2 3420 van Delft et al.: HSQÕnovolak bilayer resist 3420 FIG. 1. a 40 nm 1:1 lines and spaces written in 100 nm thick HSQ at 50 kv, 500 C/cm 2 ; b 20 nm 1:1 lines and spaces written in 50 nm thick HSQ at 100 kv, 7000 C/cm 2. FIG. 2. a 25 nm wide single line written in 100 nm thick HSQ at 50 kv, 700 C/cm 2 ; b 15 nm wide single line written in 44 nm thick HSQ at 100 kv, 5500 C/cm 2. by means of a 20 sccm 0.4 Pa O 2 plasma at 20 W power and 200 V bias Leybold Z401S at DIMES. For single layer HSQ, the HSQ spin coating in the above mentioned flow chart was performed directly on the silicon wafer without using a primer. Scanning electron micrographs SEM graphs were made using Philips XL40FEG and XL30SFEG SEMs. The part of the HSQ mask that was still present after O 2 RIE was removed wet chemically in a 7:1 NH 4 F:HF buffered oxide etch Merck for 60 s and hard baked novolak was stripped in a Branson/IPC barrel etcher for 90 min using 200 sccm 133 Pa O 2 at 800 W and 200 C. III. RESULTS AND DISCUSSION First, the resolution limits for single layer HSQ on silicon have been determined. At 50 kv, dense lines and spaces 1:1 have been resolved down to 40 nm using a 10 nm beam step size four passes and a 500 C/cm 2 dose Fig. 1 a. At 100 kv, dense lines and spaces 1:1 have been resolved down to 20 nm using a5nmbeam step size single pass and a 7000 C/cm 2 dose Fig. 1 b. At 50 kv, single linewidths have been obtained down to 25 nm using a 10 nm beam step size four passes and a 700 C/cm 2 dose Fig. 2 a. At100 kv, single linewidths have been obtained down to 15 nm using a5nmbeam step size single pass and a 5500 C/cm 2 dose Fig. 2 b. At the resolution limits, the lines appear crooked, which phenomena may be stress related. The observed limits are in agreement with those of Namatsu et al., who obtained single lines of 20 nm width at 70 kv using a 7 nm spot size. 2 Recently, Maile et al. have obtained below 10 nm single linewidths in HSQ at 100 kv with a fine tuned EBPG5000 LEICA. 4 HSQ is suited for testing machine limits; unlike polymethylmethacrylate PMMA, it is stable in a SEM. In Fig. 3 a, contrast curves are shown for HSQ exposed at 50 kv and using various developing times, where d is the remaining resist thickness after exposure and development, d 0 is the original resist thickness, and D is the dose. The contrast value is defined as the slope (d/d 0 )/ (log D). In Fig. 3 b, single linewidths, normalized to d 0, are plotted for 500 nm wide designed lines as a function of dose, where w is the measured linewidth. The horizontal contrast is defined here as the slope (w/d 0 )/ (log D) ; this dimensionless parameter is the horizontal counterpart of the usual vertically measured contrast parameter. Also indicated in Fig. 3 b are the process latitudes, PLs defined as PL(%) J. Vac. Sci. Technol. B, Vol. 18, No. 6, NovÕDec 2000

3 3421 van Delft et al.: HSQÕnovolak bilayer resist 3421 FIG. 3. HSQ written at 50 kv with various developing times: a contrast curve; b normalized linewidth vs dose for 500 nm designed linewidth. Indicated are the contrast, horizontal contrast, and process latitude values. FIG. 4. a Horizontal contrast vs contrast for HSQ at various processing conditions; b horizontal contrast vs contrast for NEB22 at various processing conditions see Ref *(D 10% D 10% )/D 0%, where D n% is the dose where the linewidth measured n% of the coded linewidth, cf. Ocola et al. 5 As can be seen, a higher PL corresponds to a lower horizontal contrast for a given coded linewidth. Note that at a given horizontal contrast, the PL parameter is still dependent on the coded linewidth, because a range of 20% of the coded linewidth determines the process window. In Fig. 4 a, horizontal contrast data and their corresponding vertical contrast values are collected for various processing conditions development time and ultrasonic agitation. It shows that processing conditions which result in higher contrasts, result in higher horizontal contrasts as well, which corresponds to poorer process latitudes. This effect has previously been observed for other negative tone e-beam resists as well; for comparison, in Fig. 4 b a similar plot is shown for NEB22 negative tone e-beam resist SUMITOMO. 6 The ratio horizontal contrast/contrast is much higher for HSQ than for NEB22, which could be due to the higher electron scattering power of a silicon and oxygen matrix compared to a carbon and hydrogen matrix. Once this ratio has been determined for a given resist, the process latitude for a particular development condition can be determined from the contrast curve by measuring layer thicknesses without having to measure the linewidths in a SEM. Figure 4 a shows that ultrasonic agitation during development results in generally higher contrasts but, at the same time, in higher horizontal contrasts as well i.e., in poorer process latitudes. As ultrasonic agitation during development does not appear to be necessary for opening the smallest features and may even destroy them, it was not employed any further in the high resolution work described in this article. Next, HSQ was used as a top coat in a bilayer masking system. First, a 300 C hard baked polyimide bottom coat was tested, but this gave rise to nanograss in between the desired structures after etching in a pure oxygen plasma. The grass formation can be suppressed by adding CHF 3 or CF 4 to the O 2 plasma, but this introduces an undesired isotropic etch contribution and lowers etch selectivity. By using 250 C hard baked HPR504 novolak as a bottom coat, a pure O 2 plasma can be employed without nanograss formation and with an (r novolak /r HSQ ) etch selectivity exceeding 45. In Fig. 5 a, a 40 nm wide, 800 nm tall single line in hard baked HPR504 bottom coat is shown as obtained in the bilayer masking system for a 50 kv exposure, 1000 C/cm 2 dose, and 10 nm beam step size four passes. Figure 5 b shows a 500 nm period mesh of 50 nm wide 800 nm tall lines, as obtained for a 50 kv exposure, 300 C/cm 2 dose and 20 nm beam step size five passes. Figure 6 shows 300 JVST B-Microelectronics and Nanometer Structures

4 3422 van Delft et al.: HSQÕnovolak bilayer resist 3422 FIG nm tall lines obtained in hard baked HPR504 after O 2 RIE, using 140 nm thick HSQ as a mask: a 40 nm wide single line 50 kv, 1000 C/cm 2 exposure in HSQ ; b mesh of 50 nm wide lines 50 kv, 300 C/cm 2 exposure in HSQ. nm wide and 3.2 m tall single lines in hard baked HPR504 four consecutively applied layers, as obtained for a 50 kv exposure, 2000 C/cm 2 dose, and 10 nm beam step size 50 passes. The etch selectivity as such is sufficiently high to structure the bottom coat, but in the GIR300 at 2 Pa O 2 pressure the ion/neutral forward scattering in the dark space between the plasma edge and the substrate on the rf electrode gives rise to an angular distribution of the incoming fast particles; this results in a gradual, though not isotropic, undercut, 7 which appears to limit the achievable aspect ratio of the lines. In Figs. 7 a and 7 b, 500 nm high, 50 nm wide 1:1 dense lines and spaces and 500 nm high, 75 nm wide 1:3 lines and spaces in hard baked HPR504 are shown, as obtained at 100 kv with 990 C/cm 2 dose and 10 nm beam step size five passes and with 450 C/cm 2 dose and 10 nm beam step size 10 passes, respectively, using a 0.4 Pa O 2 plasma. In Figs. 8 a and 8 b, 130 nm high, 40 nm wide 1:1 dense lines and spaces and 155 nm high, 25 nm wide semidense 1:2 lines and spaces in hard baked HPR504 are shown, both obtained at 100 kv with 5 nm beam step size FIG nm wide and 3200 nm tall lines obtained in hard baked HPR504 after O 2 RIE, using 140 nm thick HSQ as a mask exposed at 50 kv with a 2000 C/cm 2 dose : a line end, b crossing. five passes, and with 1650 and 1350 C/cm 2 doses, respectively, using a 0.4 Pa O 2 plasma. In Figs. 7 a and 8 b the limits of mechanical stability have been reached at the edge of the novolak patterns, although HSQ would still allow smaller features. Ina40W1Pa12.5/10 sccm SF 6 /He plasma Leybold Z401S the etch rates of HSQ, hard baked novolak and silicon are 0.48, 0.85, and 2.7 nm/s, respectively. Together with the high etch selectivity of novolak over HSQ in O 2 RIE, and the feasibility of wet-chemical removal of the HSQ mask and of O 2 barrel etch removal of the novolak, this makes both the HSQ and the HSQ/novolak bilayer suitable as mask layers for nanoscale structuring by RIE. IV. CONCLUSIONS HSQ can be employed as a single layer direct hard mask, allowing dense lines and spaces with a resolution down to 20 nm and single lines with widths down to 15 nm and below 4 to be written at 100 kv. HSQ can also be used in a bilayer combination with hard baked novolak resist. In the latter case, the smallest achievable linewidths are dependent on the novolak layer thickness and the O 2 RIE step used. It was J. Vac. Sci. Technol. B, Vol. 18, No. 6, NovÕDec 2000

5 3423 van Delft et al.: HSQÕnovolak bilayer resist 3423 FIG. 7. a 500 nm high 50 nm wide 1:1 dense lines and spaces and b 500 nm high 75 nm wide 1:3 lines and spaces obtained in hard baked HPR504 after O 2 RIE, using 50 nm thick HSQ as a mask exposed at 100 kv with 990 and 450 C/cm 2 doses, respectively. FIG. 8. a 130 nm high 40 nm wide 1:1 dense lines and spaces and b 155 nm high 25 nm wide 1:2 lines and spaces obtained in hard baked HPR504 after O 2 RIE, using 44 nm thick HSQ as a mask exposed at 100 kv with 1650 and 1350 C/cm 2 doses, respectively. shown that processing conditions which result in higher contrasts also result in higher horizontal contrasts, and, hence, in poorer process latitudes for single lines in HSQ. ACKNOWLEDGMENT The authors gratefully acknowledge the SEM assistance of Frans Holthuysen. 1 F. A. Vollenbroek, in Microelectronic Polymers, edited by M. S. Htoo Marcel Dekker, New York, 1989, p H. Namatsu, T. Yamaguchi, M. Nagase, K. Yamasaki, and K. Kurihara, Microelectron. Eng. 41Õ42, F. C. M. J. M. van Delft and F. G. Holthuysen, Microelectron. Eng. 46, B. E. Maile, W. Henschel, H. Kurz, B. Rienks, R. Polman, and P. Kaars, Proceedings of the Microprocesses and Nanotechnology Conference 2000, Tokyo; Jpn. J. Appl. Phys. submitted. 5 L. E. Ocola, C. J. Biddick, D. M. Tennant, W. K. Waskiewicz, and A. E. Novembre, J. Vac. Sci. Technol. B 16, A. J. van Dodewaard, W. S. M. M. Ketelaars, R. F. M. Roes, J. A. J. Kwinten, F. C. M. J. M. van Delft, A. J. van Run, A. K. van Langen- Suurling, and J. Romijn, Proceedings of the Micro- and Nano- Engineering 99, Rome; Microelectron. Eng. 53, F. C. M. J. M. van Delft, J. B. Giesbers, and G. J. Nienhuis, Microelectron. Eng. 35, JVST B-Microelectronics and Nanometer Structures

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them. Overview Any e-beam exposure is highly dependant upon processing and the substrate. This information is provided as a starting point and will required experimentation to optimize things for your work.

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II)

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Dra. Mariana Pojar de Melo Prof. Dr. Antonio Carlos Seabra Dep. Eng. de Sistemas Eletrônicos Escola Politécnica

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Mold Fabrication for 3D Dual Damascene Imprinting

Mold Fabrication for 3D Dual Damascene Imprinting Nanoscale Res Lett (2010) 5:545 549 DOI 10.1007/s11671-010-9540-2 SPECIAL ISSUE ARTICLE Mold Fabrication for 3D Dual Damascene Imprinting S. M. Saydur Rahman Bo Cui Received: 11 September 2009 / Accepted:

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

Electron Beam Lithography patterned Hydrogen Silsesquioxane (HSQ) resist as a mandrel for Self- Aligned Double Patterning application

Electron Beam Lithography patterned Hydrogen Silsesquioxane (HSQ) resist as a mandrel for Self- Aligned Double Patterning application Electron Beam Lithography patterned Hydrogen Silsesquioxane (HSQ) resist as a mandrel for Self- Aligned Double Patterning application Running title: EBL patterned HSQ resist as a mandrel for SADP application

More information

Electron beam and scanning probe lithography: A comparison

Electron beam and scanning probe lithography: A comparison Electron beam and scanning probe lithography: A comparison Kathryn Wilder a) and Calvin F. Quate E. L. Ginzton Laboratory, Stanford University, Stanford, California 94305-4085 Bhanwar Singh and David F.

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Supporting Information

Supporting Information Supporting Information The adhesion circle: A new approach to better characterize directional gecko-inspired dry adhesives Yue Wang, Samuel Lehmann, Jinyou Shao and Dan Sameoto* Department of Mechanical

More information

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss SCIENCE CHINA Technological Sciences RESEARCH PAPER March 2012 Vol.55 No.3: 600 605 doi: 10.1007/s11431-011-4695-3 Replication of large area nanoimprint stamp with small critical dimension loss MENG FanTao

More information

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS Christopher 3. Waskiewicz 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT ~ Tegal 700 plasma etcher was used to etch

More information

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS MCC LOR RESISTS OFFER Submicron linewidth control Finely tuned undercuts Does not intermix with imaging resists (no scum) Excellent adhesion to Si, NiFe, GaAs, InP and many other III-V and II-VI materials

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK Institute of Scientific Instruments of the ASCR, v.

More information

Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness

Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness Running title: Low Damage Cl2/Ar Deep Vertical GaN Etch Running Authors: Tahhan et al. Maher Tahhan

More information

STATE-OF-THE-ART OF FOCUSED ION BEAM NANOLITHOGRAPHY

STATE-OF-THE-ART OF FOCUSED ION BEAM NANOLITHOGRAPHY Journal of Optoelectronics and Advanced Materials,Vol. 7, No. 1, February 2005, p. 193-198 Invited Paper STATE-OF-THE-ART OF FOCUSED ION BEAM NANOLITHOGRAPHY K. Arshak *, M. Mihov a Electronic & Computer

More information

Si DRIE APPLICATION In Corial 210IL

Si DRIE APPLICATION In Corial 210IL Si DRIE APPLICATION In Corial 210IL CORIAL 210IL ICP-RIE equipment for deep Si etching applications Enlarged functionality with capability to deep etch silicon, silicon carbide, glass, sapphire, and quartz

More information

Lecture 4 Lithography II

Lecture 4 Lithography II F. G. Tseng Lec4, Fall/2016, p1 Lecture 4 Lithography II!! Resist types 1.!Optical negative resist a.!polymer get cross link after exposure b.!developer is usually solvent (xylene ( ), toluene ( ), halogenated

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Imprint lithography for curved cross-sectional structure using replicated Ni mold Imprint lithography for curved cross-sectional structure using replicated Ni mold Yoshihiko Hirai, a) Satoshi Harada, Hisao Kikuta, and Yoshio Tanaka Mechanical System Engineering, Graduate School of Engineering,

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Junbo Feng School of Optoelectronics Science and Engineering, Wuhan National Laboratory for Optoelectronics,

More information

Fabrication of photonic band-gap crystals

Fabrication of photonic band-gap crystals Fabrication of photonic band-gap crystals C. C. Cheng and A. Scherer California Institute of Technology, Pasadena, California 91125 Received 19 June 1995; accepted 9 August 1995 We describe the fabrication

More information

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode.

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode. Supplementary Figure 1.The lithium polysulfide distribution on the patterned electrode. SEM image of the ITO-carbon electrode after dipping into Li 2 S 8 solution and drying, which shows the random distribution

More information

Cold-developed electron-beam-patterned Zep 7000 for fabrication of 13-nm nickel zone plates

Cold-developed electron-beam-patterned Zep 7000 for fabrication of 13-nm nickel zone plates Cold-developed electron-beam-patterned Zep 7000 for fabrication of 13-nm nickel zone plates Julia Reinspach, Magnus Lindblom, Olov von Hofsten, Michael Bertilson, Hans M. Hertz, and Anders Holmberg Department

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features DELIVERABLE REPORT WP7 JRA2 JRA2 Research on High Precision Manufacturing D7.1 Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features M18 NFFA-Europe has received funding

More information

CYCLOTENE* 3000 Series Advanced Electronic Resins

CYCLOTENE* 3000 Series Advanced Electronic Resins CYCLOTENE* 3000 Series Advanced Electronic Resins Revised: February 2005 Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins 1. INTRODUCTION The CYCLOTENE 3000 series advance electronic resins

More information

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins Revised: April 2008 Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins 1. INTRODUCTION The CYCLOTENE 3000 series Advanced Electronic Resins derived from B-staged bisbenzocyclobutene (BCB)

More information

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS Since Ag 2 Ga nanoneedles can be directly grown on (or even in place of) the tips on AFM cantilevers using the pulling technique

More information

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

!#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **) !"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0!7.5853-09**) Etching Removal of unwanted or non-circuit copper from board Etch resists organic and metallic resists photoresist tin, gold, nickel, silver and alloys

More information

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES Joseph W. Wisemari Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A silicon trench

More information

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Three-dimensional SU-8 structures by reversal UV imprint

Three-dimensional SU-8 structures by reversal UV imprint Three-dimensional SU-8 structures by reversal UV imprint W. Hu, a B. Yang, C. Peng, and S. W. Pang b Solid State Electronics Laboratory, Department of Electrical Engineering and Computer Science, The University

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Study of the resist deformation in nanoimprint lithography

Study of the resist deformation in nanoimprint lithography Study of the resist deformation in nanoimprint lithography Yoshihiko Hirai, a) Masaki Fujiwara, Takahiro Okuno, and Yoshio Tanaka Department of Mechanical System Engineering, Graduate School of Engineering,

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Bilayer, nanoimprint lithography

Bilayer, nanoimprint lithography Bilayer, nanoimprint lithography Brian Faircloth Nuvonyx, Inc., Bridgeton, Missouri 63044 Henry Rohrs Washington University, St. Louis, Missouri 63130 Richard Tiberio Cornell University, Ithaca, New York

More information

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive Supplementary Information Channel fabrication Glass microchannels. A borosilicate glass wafer

More information

Fabrication of Infrared Antennas using Electron Beam Lithography

Fabrication of Infrared Antennas using Electron Beam Lithography Invited Paper Fabrication of Infrared Antennas using Electron Beam Lithography Michael A Gritz*, Javier Gonzalez, and Glenn D. Boreman*a School of Optics/CREOL Abstract The methods of fabricating infrared

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

Micro-Nano Fabrication Research

Micro-Nano Fabrication Research Micro-Nano Fabrication Research Technical Education Quality Improvement Programme 22-23 December 2014 Dr. Rakesh G. Mote Assistant Professor Department of Mechanical Engineering IIT Bombay rakesh.mote@iitb.ac.in;

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Applied Surface Science 212 213 (2003) 388 392 Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Marcus A. Pereira, José A. Diniz, Ioshiaki Doi *, Jacobus W. Swart

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical water splitting

Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical water splitting Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2015 Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical

More information

Manufacturing substrate nano-grooves for studying cell alignment and adhesion

Manufacturing substrate nano-grooves for studying cell alignment and adhesion Available online at www.sciencedirect.com Microelectronic Engineering 85 (2008) 1362 1366 www.elsevier.com/locate/mee Manufacturing substrate nano-grooves for studying cell alignment and adhesion F.C.M.J.M.

More information

Colorado School of Mines PHGN/CHGN 435. Modules 1 and 2. Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay

Colorado School of Mines PHGN/CHGN 435. Modules 1 and 2. Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay Colorado School of Mines PHGN/CHGN 435 Modules 1 and 2 Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay February 10, 2015 1 Introduction and Background Integrated circuits are an integral part

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques:

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques: Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques: Participant Names: Moriah Faint, Marcos Rodriguez Mentor: Frank Tsang Date: 1 Introduction

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Journal of Advanced Mechanical Design, Systems, and Manufacturing Fabrication of the X-Ray Mask using the Silicon Dry Etching * Hiroshi TSUJII**, Kazuma SHIMADA**, Makoto TANAKA**, Wataru YASHIRO***, Daiji NODA** and Tadashi HATTORI** **Laboratory of Advanced Science

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Surface Passivation Process Study with Polyimide for High Voltage IGBT

Surface Passivation Process Study with Polyimide for High Voltage IGBT 5th International Conference on Mechatronics, Materials, Chemistry and Computer Engineering (ICMMCCE 2017) Surface Passivation Process Study with Polyimide for High Voltage IGBT Guoqing Leng1,a), LI Li1,

More information

Self-aligned via and trench for metal contact in III-V semiconductor devices

Self-aligned via and trench for metal contact in III-V semiconductor devices Self-aligned via and trench for metal contact in III-V semiconductor devices Jun Fei Zheng a Intel Corporation, Santa Clara, California 95052 Hilmi Volkan Demir Nanotechnology Research Center, Department

More information

Photolithography Process Technology

Photolithography Process Technology Contents Photolithography Process - Wafer Preparation - Photoresist Coating - Align & Expose - Photoresist Development Process Control CD Measurement Equipment Expose System & Wafer Track Consumables Chemicals

More information

Fabrication and optical characterization of nano-hole arrays in gold and gold/palladium films on glass

Fabrication and optical characterization of nano-hole arrays in gold and gold/palladium films on glass 107 Fabrication and optical characterization of nano-hole arrays in gold and gold/palladium films on glass O M Piciu 1 *, M W Docter 2, M C van der Krogt 3, Y Garini 4, I T Young 2, P M Sarro 1, and A

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Use of SU-8 Negative Photoresist for Optical Mask Manufacturing

Use of SU-8 Negative Photoresist for Optical Mask Manufacturing Use of SU-8 Negative Photoresist for Optical Mask Manufacturing Alexei L. Bogdanov * MAX-Lab, University of Lund, SE-221 00, Lund, Sweden ABSTRACT The requirements for better control, linearity, and uniformity

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils Supporting Information Screen Printing of Highly Loaded Silver Inks on Plastic Substrates Using Silicon Stencils Woo Jin Hyun, Sooman Lim, Bok Yeop Ahn, Jennifer A. Lewis, C. Daniel Frisbie*, and Lorraine

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Ultrafine silicon quantum wires fabricated by selective chemical etching and thermal oxidation

Ultrafine silicon quantum wires fabricated by selective chemical etching and thermal oxidation Ultrafine silicon quantum wires fabricated by selective chemical etching and thermal oxidation Y. Shi, a) J. L. Liu, F. Wang, Y. Lu, R. Zhang, S. L. Gu, P. Han, L. Q. Hu, and Y. D. Zheng Department of

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Wireless implantable chip with integrated Nitinol-based

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information