Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Size: px
Start display at page:

Download "Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES"

Transcription

1 Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2, S. Cohen 3, Y. Ostrovski 3, S. Molis 4, K. Maloney 4, J. Femiak 4, J. Protzman 4, T. Pinto 4, E. T. Ryan 5, A. Madan 4, C.-K. Hu 3, and T. Spooner 2 1 Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Oct , AMC2010 in Albany 2010 Renesas Electronics Corporation. All rights reserved.

2 1. Introduction Outline Low-k damage induced by Cu surface treatment 2. Experimental High plasma resistant low-k film Cu surface treatment conditions 3. Results and Discussion Surface analysis (Low-k and Cu films) Interconnect performance and reliability 4. Conclusion Renesas Electronics Corporation. All rights reserved.

3 Cu surface pre-treatment Trade-off relation between reliability and low-k damages. Cu oxide removal: Reliability improvement (EM and SM). Low-k damage: RC performance degradation (k-value increase). Cu oxide NH3 pre-treatment Low-k k damage Cap Cu Cu Cu 1) After CMP 2) Cu surface treatment 3) Cap deposition Optimization of pre-treatment with high plasma resistant low-k film. Step-1: Surface analysis (low-k and Cu films) Step-2: 22nm-node DDI (RC performance and reliability) Renesas Electronics Corporation. All rights reserved.

4 1. Introduction Outline Low-k damage induced by Cu surface treatment 2. Experimental High plasma resistant low-k film NH3 plasma treatment conditions 3. Results and Discussion Surface analysis (Low-k and Cu films) Interconnect performance and reliability 4. Conclusion Renesas Electronics Corporation. All rights reserved.

5 High plasma resistant low-k k film PECVD PECVD and and UV UV cure cure process process Conventional (DEMS + Porogen) þ This work (DEMS + Porogen + Carbosilane) UV cure K-value Porosity (%) Pore diameter (nm) Carbon content (%) Density (g/cm3) Stress (MPa) Elastic modulus (GPa) High carbon content Low process damage C-bridging (Si-CH2-Si) Rigid skeleton Basic film properties PID (a.u.) Plasma induced damage (PID) Ar+O2 plasma Conventional (k=2.2) k=2.2 k=2.4 k=2.6 This work (k=2.2) Carbon content (%) High plasma resistant film (k=2.2) with high carbon content is promising for highly reliable Cu interconnects. Low damage Renesas Electronics Corporation. All rights reserved.

6 NH3 plasma treatment conditions Three types of NH3 plasma conditions RF Power (W) Pressure (Torr) Temp. ( o C) Time (sec) #1 (Low-power) #2 (High-power) #3 (High-power, Lowpressure) High power Low pressure 350 0~20 Step-1: Surface analysis (blanket) 1) 1) Low-k surface Low-k damage suppression 2) 2) Cu Cu surface Cu Oxide removal NH3 plasma NH3 plasma Damage Low-k Cu oxide Cu Optimized NH3 plasma Step-2: Low-k/Cu interconnect 22nm-node DDI structure with 80nm-pitch. RC performance Damage analysis Reliability (EM) Cu Renesas Electronics Corporation. All rights reserved.

7 1. Introduction Outline Low-k damage induced by Cu surface treatment 2. Experimental High plasma resistant low-k film NH3 plasma treatment conditions 3. Results and Discussion Low-k surface analysis (k-value, TOF-SIMS, XRR) Cu surface analysis (TOF-SIMS, Adhesion) Interconnect performance and reliability 4. Conclusion Renesas Electronics Corporation. All rights reserved.

8 NH3 plasma Damage High plasma resistant low-k film (high carbon) Al dot Low-k (k=2.2) Si-sub. Dielectric constant k-value increase Capacitance (k-value) K-value increase vs. treatment time #3 (550W, 1.6Torr) #1 (225W, 3Torr) #2 (550W, 3Torr) #3 (550W, 1.6Torr) #2 (550W, 3Torr) #1 (225W, 3Torr) Treatment time (sec) Condition #1 and #2 with high pressure and short time ( 5sec) were promising for low-k k damage suppression. Low damage Renesas Electronics Corporation. All rights reserved.

9 Damaged layer analysis (SIMS, XRR) TOF-SIMS depth profile XRR analysis (2-layer model) 10 1 Fitting curve Intensity 4 x High-power #2 #2 #2 (550W, 3Torr, 3Torr, 5sec) 5sec) Oxide like layer Low-k (k=2.2) C SiO Time Frmula Mass Color C SiN Si SiO Si Si / s Intensity (a.u.) Surface Bulk Thickness (nm) k-value (expected) Surface damaged region showed thin oxide like layer. Impact of surface damage bellow 5sec was relatively small High-power #2 #2(550W, 3Torr, 5sec) q (deg) Density (g/cm 3 ) Experimental data 2-layer model Surface Bulk + 1% Total k-value (estimated) Renesas Electronics Corporation. All rights reserved.

10 1. Introduction Outline Low-k damage induced by Cu surface treatment 2. Experimental High plasma resistant low-k film NH3 plasma treatment conditions 3. Results and Discussion Low-k surface analysis (k-value, TOF-SIMS, XRR) Cu surface analysis (TOF-SIMS, Adhesion) Interconnect performance and reliability 4. Conclusion Renesas Electronics Corporation. All rights reserved.

11 Oxygen concentration at Cap/Cu interface NH3 plasma Cu In-situ TOF-SIMS Cap Cu Oxygen Oxygen intensity at Cap/Cu interface 5 x10 Intensity TOF-SIMS Cap O Cu Frmula Mass Color C O SiN SiO Cu High-power #2 #2 (550W, 3Torr, 5sec) Oxygen intensity Cycle / N 1.2 #1 (225W, 3Torr) #2 (550W, 3Torr) #3 (550W, 1.6Torr) Oxygen Treatment time (sec) Oxygen concentration at Cap/Cu interface decreases. High power conditions are effective for Cu surface cleaning. Oxygen intensity (a.u.) W, 3Torr Cap Cu 225W, 3Torr 550W, 1.6Torr Cu cleaning Renesas Electronics Corporation. All rights reserved.

12 Adhesion strength at Cap/Cu interface Adhesion (J/m 2 ) 4 point bending #1 (225W, 3Torr) #2 (550W, 3Torr) #3 (550W, 1.6Torr) 550W, 1.6Torr Cap Treatment time (sec) Adhesion strength increases with pre-treatment time. Reducing oxygen increases adhesion at Cap/Cu interface Renesas Electronics Corporation. All rights reserved. Cu 550W, 3Torr 225W, 3Torr Adhesion High adhesion Adhesion (J/m 2 ) Adhesion vs. Oxygen conc Cap Cu #1 (225W, 3Torr) #2 (550W, 3Torr) #3 (550W, 1.6Torr) Cu cleaning Oxygen Adhesion High-adhesion Oxygen concentration (a.u.)

13 Optimization of NH3 plasma treatment Oxygen conc. at Cap/Cu (a.u.) Oxygen (Cap/Cu) vs. k-value W, 3Torr, 5sec #1 (225W, 3Torr) #2 (550W, 3Torr) #3 (550W, 1.6Torr) 550W, 1.6Torr k-value increase Low ULK damage 225W, 3Torr Cu cleaning Optimum condition #2 #2(550W, 3Torr, 5sec) Condition #2 (550W, 3Torr, 5sec) is well balanced condition from low-k k damage suppression and Cu surface cleaning Renesas Electronics Corporation. All rights reserved.

14 1. Introduction Outline Low-k damage induced by Cu surface treatment 2. Experimental High plasma resistant low-k film NH3 plasma treatment conditions 3. Results and Discussion Low-k surface analysis (k-value, TOF-SIMS, XRR) Cu surface analysis (TOF-SIMS, Adhesion) Interconnect performance and reliability 4. Conclusion Renesas Electronics Corporation. All rights reserved.

15 TEM image of 22nm-node Low-k/Cu interconnect 50nm Bilayer cap (SiC/SiCN) Barrier metal (Ta/TaN) 80nm L/S=40/40nm RC performance M3 M2 M1 Optimized Cu surface treatment Plasma resistant low-k film (k=2.2) RC changes vs. treatment time 16 M2 RC change (%) High-power #2 #2(550W, 3Torr, 5sec) 6.5x sec 2sec 4.0x Treatment time (sec) RC product was almost stable bellow 5sec using optimized treatment condition #2 (550W, 3Torr). M2 capacitance 6.0x x x x10-10 M2 resistance 5sec 10sec 20sec Renesas Electronics Corporation. All rights reserved.

16 Damaged layer thickness (nm) Plasma damage analysis (DHF-dip) Damaged layer thickness 0 Condition #2, 20sec After DHF-dip Damaged layer This work (High-carbon) ULK/Cu line (DHF-dip) Blanket (DHF-dip) Blanket (XRR) Coventional (Low-carbon) Blanket (DHF-dip) Blanket (DHF-dip) Treatment time (sec) Conventional (Low carbon) This work (High carbon) M2 RC change (%) Simulation of RC changes Experiment Simulation (damage layer_k=4) Simulation Experiment Similar behavior Treatment time (sec) Plasma damage can be effectively suppressed by plasma resistant low-k k film and optimized NH3 treatment condition ( ( 5sec) M3 M2 M1 k=2.2 Damaged layer (k=4.0) Renesas Electronics Corporation. All rights reserved.

17 Reliability (EM) Cumulative probability (%) EM performance NH3 plasma 0sec 5sec 18sec 0sec X5 5sec 18sec High-power #2 #2 (550W, 3Torr, 3Torr) 5sec) Lifetime (a.u.) RC EM (t50) Adhesion EM life time at t50 M2 RC change (%) Adhesion strength of Cap/Cu interface (J/m2) X5 EM lifetime at t50 V1 M Treatment time (sec) Optimized pre-treatment (5sec) improved EM lifetime by 5 times without RC increase Renesas Electronics Corporation. All rights reserved.

18 Conclusion Effects of NH3 pre-treatment on low-k damage and Cu surface cleaning were systematically investigated. þ Low-k and Cu surface analysis High carbon content low-k film is promising for high plasma damage resistance. Effective pre-treatment condition with well balance between low-k damage reduction and Cu surface cleaning. þ Low-k/Cu Interconnect for 22nm-node High performance and high reliable interconnects were successfully demonstrated using high plasma resistant low-k film and optimized NH3 pre-treatment Renesas Electronics Corporation. All rights reserved.

19 Acknowledgement This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities. Renesas Electronics Corporation 2010 Renesas Electronics Corporation. All rights reserved.

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems 45nm Reliability Issues Glenn Alers Integration Group Novellus Systems 1 Integration Challenges for Interconnects Maintain low RC with reduced line widths No sacrifice in reliability Reduced Cu line width

More information

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes Dr Eb Andideh Intel Corporation Logic Technology Development Contact: ebrahimandideh@intelcom 1 Disclaimer

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Low-k Interlayer Dielectrics for 65 nm-node LSIs GPa Low-k Nano Clustering Silica NCS. Abstract

Low-k Interlayer Dielectrics for 65 nm-node LSIs GPa Low-k Nano Clustering Silica NCS. Abstract 65 nm LSI Low-k Interlayer Dielectrics for 65 nm-node LSIs 56, 4, 07,2005 65 nm LSI 2.25 10 GPa Low-k Nano Clustering SilicaNCS 1 NCS 2.8 nm NCS65 nm NCS Low-k Cu/NCS Cu NCS NCS LSI Abstract We have developed

More information

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598 Adhesion and Electromigration in Cu Interconnect Jim Lloyd, Michael Lane and Eric Liniger Yorktown Heights, NY 10598 Adhesion and Electromigration Cu and Al act very differently with respect to electromigration

More information

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures E.G. Liniger, T.M. Shaw, S.A. Cohen, P.K. Leung*, S.M. Gates, G. Bonilla, D.Canaperi*, S. Papa Rao IBM T.J. Watson Research Center, 1101

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Integration/Reliability Issues for Cu/low-k BEOL Interconnects

Integration/Reliability Issues for Cu/low-k BEOL Interconnects IEEE Workshop on Microelectronics and Electron Devices, April 3, 2009, Boise Integration/Reliability Issues for Cu/low-k BEOL Interconnects Daniel C. Edelstein IBM Fellow and Manager, BEOL Technology Strategy

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Advanced Low Dielectric Constant Materials Learning and Perspectives

Advanced Low Dielectric Constant Materials Learning and Perspectives Advanced Low Dielectric onstant Materials Learning and Perspectives Geraud Dubois IBM Almaden Research enter, San Jose, A Department of Materials Science and Engineering, Stanford University, A 2 4/26/2018

More information

Evaluation of a New Advanced Low-k Material

Evaluation of a New Advanced Low-k Material Evaluation of a New Advanced Low-k Material E. A. Smirnov 1, Kris Vanstreels, Patrick Verdonck, Ivan Ciofi, Denis Shamiryan, and Mikhail R. Baklanov, IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium, E-mail:

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES C. L. Gan 1,, C. V. Thompson 1,2, K. L. Pey 1,3, and W. K. Choi 1,4 1 Advanced Materials for Micro- and Nano- Systems Programme, Singapore-MIT

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Effect of impurity on Cu electromigration

Effect of impurity on Cu electromigration Effect of impurity on Cu electromigration C.K. Hu, M. Angyal, B. Baker, G. Bonilla, C. Cabral, D. F. Canaperi, L. Clevenger, D. Edelstein, L. Gignac, E. Huang, J. Kelly, B. Y. Kim, V. Kyei- Fordjour, S.

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

Electromigration Improvement for Advanced Technology Nodes

Electromigration Improvement for Advanced Technology Nodes 269.1149/1.3096461 The Electrochemical Society Electromigration Improvement for Advanced Technology Nodes Hui-Jung Wu, Wen Wu, Roey Shaviv, Mandy Sriram, Anshu Pradhan, Kie Jin Park, Jennifer O Loughlin,

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY Q. T. LE*, E. KESTERS*, Y. AKANISHI**, A. IWASAKI**, AND F. HOLSTEYNS* * IMEC, LEUVEN, BELGIUM ** SCREEN SEMICONDUCTOR

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Chizuru Ohshima*, Ikumi Kashiwagi*, Shun-ichiro Ohmi** and Hiroshi Iwai* Frontier Collaborative Research Center* Interdisciplinary

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

ENCAPSULATION OF ORGANIC LIGHT EMITTING DIODES

ENCAPSULATION OF ORGANIC LIGHT EMITTING DIODES ENCAPSULATION OF ORGANIC LIGHT EMITTING DIODES Bhadri Visweswaran Sigurd Wagner, James Sturm, Electrical Engineering, Princeton University, NJ Siddharth Harikrishna Mohan, Prashant Mandlik, Jeff Silvernail,

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Jung Woo Pyun, a Won-Chong Baek, Jay Im, and Paul S. Ho Microelectronics Research Center, PRC/MER Mail Code R8650,

More information

Materials Perspective on Interconnects

Materials Perspective on Interconnects Materials Perspective on Interconnects David R. Clarke Materials Department, College of Engineering University of California, Santa Barbara Interconnects are Communication Networks Latency vs Line Length

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE Abstract ANA NEILDE R. DA SILVA, NILTON MORIMOTO, OLIVIER BONNAUD* neilde@lsi.usp.br - morimoto@lsi.usp.br

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd SRC/SEMATECH ERC for Environmentally Benign Semiconductor Manufacturing Depositing and Patterning a Robust and Dense Low-k Polymer by icvd December 11, 2008 Nathan J. Trujillo Karen K. Gleason Anatomy

More information

Through Silicon Vias Annealing: A thermo-mechanical assessment

Through Silicon Vias Annealing: A thermo-mechanical assessment Dresden University of Technology / Through Silicon Vias Annealing: P. Saettler (1), K. J. Wolter (1), M. Hecker (2), M. Boettcher (3) and C. Rudolph (3) (1) Technische Universität Dresden, (2) Globalfoundries

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Metal Oxide Nanotubes and Photo-Excitation Effects: New Approaches for Low Temperature Solid Oxide Fuel Cells

Metal Oxide Nanotubes and Photo-Excitation Effects: New Approaches for Low Temperature Solid Oxide Fuel Cells GCEP Research Symposium Stanford University October 2,2009 Metal Oxide Nanotubes and Photo-Excitation Effects: New Approaches for Low Temperature Solid Oxide Fuel Cells Paul C. McIntyre 1,2 & Shriram Ramanathan

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

Interface Properties of La-silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT

Interface Properties of La-silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT ECS-PRiME 2012, Hawaii Interface Properties of MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT K. Tuokedaerhan a, R. Tan c, K. Kakushima b, P. Ahmet a,y. Kataoka b, A. Nishiyama b, N.

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Preparation of Low-k Porous SiO 2 Films by SiO 2 /Organic Hybrid Chemical Vapor Deposition Akira Fujimoto and Osamu Sugiura Department of Physical Electronics, Tokyo Institute of Technology, 2-2-, O-okayama,

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7 EE143 Fall 2016 Microfabrication Technologies Lecture 9: Metallization Reading: Jaeger Chapter 7 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Interconnect 2 1 Multilevel Metallization

More information

scattering study of phase separation at initially mixed HfO 2 -SiO

scattering study of phase separation at initially mixed HfO 2 -SiO ERC TeleSeminar In situ low-angle x-ray x scattering study of phase separation at initially mixed HfO -SiO thin film interfaces Paul C. McIntyre Jeong-hee Ha Department of Materials Science and Engineering,

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

p. 57 p. 89 p. 97 p. 119

p. 57 p. 89 p. 97 p. 119 Preface Program Committee Members Transistor Physics History John Bardeen and Transistor Physics p. 3 Challenges p. xiii p. xv Technology in the Internet Era p. 33 Metrology Needs and Challenges for the

More information

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing Pulsed Nucleation Layer of Tungsten Nitride arrier Film and its Application in DRAM and Logic Manufacturing Kaihan Ashtiani, Josh Collins, Juwen Gao, Xinye Liu, Karl Levy Novellus Systems, Inc. 4 N. First

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Nano-Packaging : Hype, Hope or Happening?

Nano-Packaging : Hype, Hope or Happening? Nano-Packaging : Hype, Hope or Happening? Are We Truly Solving Today s Big Packaging Problems with Nano- Technology*? Ravi Mahajan, Chris Matayabas, Nachiket Raravikar ECTC, May 26, 2015 * Focus mainly

More information

Optimized CMP of ULK Dielectrics

Optimized CMP of ULK Dielectrics Optimized CMP of ULK Dielectrics Taek-Soo Kim Markus Ong Reinhold H. Dauskardt (dauskardt@stanford.edu) Collaborations: Tatsuya Yaman and Tomohisa Konno JSR Micro, Inc. Research supported by the SRC, DOE

More information

Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012

Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012 Integration of Power-Supply Capacitors with Ultrahigh Density on Silicon Using Particulate Electrodes POWERSOC 2012 P M Raj, Himani Sharma, Kanika Sethi, Prof. Rao Tummala 3D Systems Packaging Research

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

Hafnium -based gate dielectrics for high performance logic CMOS applications

Hafnium -based gate dielectrics for high performance logic CMOS applications Hafnium -based gate dielectrics for high performance logic CMOS applications T. Kelwing*, M. Trentzsch, A. Naumann, B. Bayha, B. Trui, L. Herrmann, F. Graetsch, R. Carter, R. Stephan, P. Kuecher & W. Hansch

More information

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING Keith Buchanan, Dave Thomas, Hefin Griffiths, Kathrine Crook, Daniel Archard, Mark Carruthers, Steve Burgess, Stephen Vargo SPTS

More information

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond Arun R. Srivatsa - KLA-Tencor Corporation Spectroscopic Ellipsometry (SE) is a key technology for production monitoring of films in

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Novel Approaches to Thermal Management for Power LED Packaging

Novel Approaches to Thermal Management for Power LED Packaging 50 Novel Approaches to Thermal Management for Power LED Packaging Thermal management is crucial for the efficiency and reliability of LED products which have become very popular during the past few years.

More information

Anisotropic Conductive Films (ACFs)

Anisotropic Conductive Films (ACFs) Anisotropic Conductive Films (ACFs) ACF = Thermosetting epoxy resin film + Conductive particles Chip or substrate 1 Heat Pressure ACF Substrate 2 Chip or substrate 1 ACF Substrate 2 Applications Chip-on-Board

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Swarna Addepalli, Prasanna Sivasubramani, Hongguo Zhang, Mohamed El-Bouanani, Moon J. Kim, Bruce

More information

Examples of dry etching and plasma deposition at Glasgow University

Examples of dry etching and plasma deposition at Glasgow University Examples of dry etching and plasma deposition at Glasgow University Glasgow has pioneered and established many novel research activities involving the development of new dry etch processes and dry etch

More information

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1% We are IntechOpen, the first native scientific publisher of Open Access books 3,350 108,000 1.7 M Open access books available International authors and editors Downloads Our authors are among the 151 Countries

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Fabrication of sub-100nm thick Nanoporous silica thin films

Fabrication of sub-100nm thick Nanoporous silica thin films Fabrication of sub-100nm thick Nanoporous silica thin films Abstract M. Ojha, W. Cho, J. L. Plawsky, W. N. Gill Department of chemical and biological engineering, Rensselaer Polytechnic Institute Low refractive

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information