Resist Characterization for EUV- Lithography

Size: px
Start display at page:

Download "Resist Characterization for EUV- Lithography"

Transcription

1 Resist Characterization for EUV- Lithography 2. Int. EUVL-Symposium, Antwerp, B Wolf-Dieter Domke 1, Stefan Hirscher 2, liver Kirch 3, Karl Kragler 1, Klaus Lowack 1, 1 Infineon Technologies AG, Erlangen, Germany 2 Infineon Technologies AG, Munich, Germany 3 Friedrich-Alexander University, Erlangen, Germany N e v e r s t o p t h i n k i n g.

2 Agenda Introduction Why open frame tools TEUVL Some results on non-ideal EUV resists What have we learned so far from bulk litho experiments Patterning experiments Summary

3 Why pen Frame Exposures? EUV photons & reasonable patterning optics are rare around the world Bulk litho characterization can accelerate the first material learning cycles IFX EUV Project:

4 TEUVL: Testbench for EUV Lithography Prototype system of compact EUV laboratory exposure system installed at IFX MP in Erlangen ct02 Material and process related issues to be investigated with TEUVL : narrow material choice for EUV resist sensitivity, contrast and film thickness loss pre-checks (DoE) for MET patterning (bake, development, thickness) surface roughness after exposure and development (correlation to LER) negative tone behaviour and outgassing film thickness dependencies, performance changes under vacuum simple proximity printing with a grating mask (etch stability)

5 Components of TEUVL Control and supply rack Control panel PLCcontrolled shutter Wafer port UHV pumps ML mirror as spectral filter EUVlamp EUVwindow

6 Absorbance in EUV and it s relevance for polymers & PAGs The EUV absorbance in organic materials occurs by inner-shell electrons and is therefore - differently from optical lithography - independent of molecular structure. the absortion of molecules is then equal to the sum of the atomic absorptions. The strongest absorbing atoms in resists and PAGs are J > F > >> N > C, Cl, S, H I-line, DUV and 193nm resists all show more or less the same EUV absorbance of 3-6 µm-1 The fluorine content in 157nm resist results in a higher EUV absorbance of 5-10 µm-1 Siloxane and CH-units in the polymer lower the EUV absorbance Triphenylsulfonium PAGs have a lower absorbance than Diphenyliodonium PAGs Longer CF2 chains in the PAG anion increase the absorbance (nonaflate > hexaflate > triflate)

7 Polymer types under Investigation: Acrylic MA type I, Si-containing PSS-type CH 3 CH 3 R Si(CH 3 ) 3 X Y C H Acrylic MA type II R Acrylic MA type III H R2 R1 R Si R Si R Si R Si Si Si Si R Si(CH 3 ) 3 Si R Fujitsu type R R = i-bu H C PHST type ESCAP type

8 PAGs under investigation A) TPS-R - type (R = -H, -CH 3, -CtBu) R Anions: Triflate, Hexaflate, Nonaflate and Tosylate CF 3 S 3 - CF 3 CHF CF 2 S 3 - R S CF 3 (CF 2 ) 3 S 3 - H 3 C S R B) DPJ type (R = -H, -tbu) R I R C) BTHT- type (benzyltetrahydrothiophene) S CH 2 CH 3 D) Phthalimide type (R = -CH 3, -J, -F) N S R

9 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

10 Vacuum stability of short-chain PAGs (5% TPS-Triflate) Filmthickness [nm a) Acrylic MA type II resist; 5 % TPS- Tft; no PEB Delay D 0 = 0,81 ± 0,02 γ = 5,5 ± 0,2 EUV lithography works at a vacuum < 10-5 mbar. Is the vacuum stability of resist components (esp. short chain PAGs/acids) problematic for bulk litho characterization? 0 0,0 0,5 1,0 1,5 2,0 Dose [mj/cm2] PEB Delay under vacuum up to 24 hours in resist systems with Triflate-, Hexaflate- und Nonaflate PAGs is not critical. Filmthickness [nm b) Acrylic MA type II resist; 5 % TPS-Tft; 22 h PEB Delay D 0 = 0,85 ± 0,02 γ = 5,9 ± 0,2 0 0,0 0,5 1,0 1,5 2,0 Dose [mj/cm2]

11 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

12 What have we learned so far? While Triflate, Hexaflate and Nonaflate PAGs in DUV exhibit the same D0 in a given resist system, this is different in EUV: because of F-absorption, short-chained PAGs give better contrast and sensitivity. TPS-type PAGs have slightly better sensitivity and contrast than Iodine PAGs dose-to-clear [mj/cm 2 ] 2,8 2,4 2,0 1,6 1,2 0,8 0,4 dose-to-clear vs. F-content in resist Acrylic MA type II resist with TPS X-flates Mw [10 3 g/mole] molecular weight vs. EUV dose Acrylic MA type II resist, no PAG EUV dose [mj/cm 2 ] 0,0 0,2 0,3 0,4 0,5 0,6 0,7 0,8 F-content in resist formulation [mmol] New EUV specific issues are unwanted side-reactions (chain-scissioning, crosslinking)

13 Comparison of PAGs 1 (5% per weight with polymer B) Cation Anion D 0 Contrast F-contents Acid-contents [mj/cm 2 ] [mmole]/g [µmole]/g Polymer Polymer TPS Tft 0,76 6,5 0, DPI Tft 1,3 3,0 0, TPS Hft 1,61 3,4 0, TPS-tBC Tft 1,94 13,92 0,20 66 TPS-H Tft 1,97 4,99 0, TPS Nft 2,37 3,2 0,80 89 PI Tos-I 3,39 3, PI Tos 5,60 2, PI Tos-F > 5,00-0, D(t-BuP)I Tos > 6,

14 Comparison of PAGs 2 (5% per weight with polymer B) Cation Anion D 0 Contrast F-contents Acid-contents [mj/cm 2 ] [mmole]/g [µmole]/g Polymer Polymer TPS Nft 2,37 3,2 0,80 89 TPS Hft 1,61 3,4 0, TPS Tft 0,76 6,5 0, DPI Tft 1,3 3,0 0, TPS-H Tft 1,97 4,99 0, TPS-tBC Tft 1,94 13,92 0,20 66 PI Tos-F > 5,00-0, PI Tos-I 3,39 3, PI Tos 5,60 2, D(t-BuP)I Tos > 6,

15 Bulk EUV litho data of model resist systems (exposures at TEUVL, BESSY) Resist Type D 0 [mj/cm 2 ] A B Acrylic MA type I Acrylic MA type II Contrast UFTL [nm] 2,0 4,3 2 Comment Si-containing resist 2,4 3,2 4 No crosslinking up to 250 mj/cm 2 C ESCAP 2,7 4,4 2 Crosslinking > 90 mj/cm2 E PHST 1,3 3,2 10 Crosslinking >100 mj/cm 2 F G H PSS Acrylic Fujitsu 2,7 2,5 6,0 14,8 n.a. 8,3 0 n.a. 2 Si-containing resist

16 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

17 Chain-Scissioning and Cross-Linking % molecular weight 5 mj/cm 2 Mw [10 3 g/mole] molecular weight vs. EUV dose EUV dose [mj/cm 2 ] GPC studies of EUV irradiated polymers/resists show that chain scissioning is an unwanted side reaction; this effect is several times higher than in 157 nm Chain scissioning leads to the formation of radicals, which can give unwanted side reactions

18 Chain-Scissioning and Cross-Linking Film Thickness [nm] TTAL CNTRAST CURVE ESCAP ,10 1,00 10,00 100, ,00 dose [D/D0] Film Thickness [nm] TTAL CNTRAST CURVE PHST ,10 1,00 10,00 100, ,00 dose [D/D0] in aromatic polymers these radicals are stabilized over time and may lead to crosslinking (high-dose negative tone behavior) In ESCAP- and PHST- resists negative tone behavior at higher doses has been observed In Acrylic MA and CMA resists such behavior has not been observed EUV resist simulations will need new models (parallel exposure reactions, dose-dependent diffusion, dose-dependent activation energies,

19 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

20 utgassing test at λ = 13.4 nm The TEUVL outgassing setup with attached mass spectrometer had two drawbacks: non-ideal chamber geometry and low EUV power utgassing tests have been done with mass spectrometers attached to synchrotrons (BESSY & Synchrotrone Trieste) Most sensitive setup is at Synchrotrone Trieste, but attenuation of beamline needed utgassing of deblocking groups and PAG fragments could be found; experiments are ongoing

21 EUV Resist utgassing Tests at Synchrotrone Trieste; MEDEA+ partner max. dose is 11,6 mj/cm 2 sec at 100 ma ring current, can be adjusted by undulator mis-match acquisition speed of the spectra was 1 amu/sec no signals above 50 amu Beam N Beam FF C, C, C 2, and deblocking groupoutgassing detected Fluorine outgassing detected at high EUV doses (>100 mj/cm 2 ); increases in PAG-loaded resists Ion Current (A) C 3 H 5 C 3 H C C Time (s) F Mass Trends N 2 /C 400

22 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

23 Surface Roughness Data of open frame exposed EUV resists non-exposed exposed W. G. Lawrence, Shipley, April 2003: discussed a diffusive/reactive wavefront model for LER final position of the wavefront defines CD final structure of the wavefront defines LER y exposed x diffusive-reactive wavefront diffusive-reactive wavefront x z y non-exposed The final wavefront in open frame exposures defines the surface roughness after PEB and development This surface roughness can be measured by AFM Is surface roughness after EUV exposure, PEB and development a measure for LER?

24 Surface Roughness at different points of the EUV contrast curve 9.9 nm 3σ 18.8 nm 3σ 120 Filmthickness [nm] nm 3σ 0 0,0 0,5 1,0 1,5 2,0 2,5 3,0 Dose [mj/cm2] 13.9 nm 3σ

25 Surface Roughness Evaluation Resist C Resist A Resist M surface roughness (3σ) [nm] deprotection % residual film thickness

26 Some conclusions for LER AFM 3σ surface roughness is in the same order of magnitude as 3σ LER surface roughness is not dominated by resist contrast surface roughness (3s) [nm] 35,00 30,00 25,00 20,00 15,00 10,00 5,00 0, resist contrast bake and development DoE of resist C gave the following results: higher PEB leads to higher surface roughness higher PAB leads to lower surface roughness longer development times lead to higher surface roughness although these tendencies are known to be valid also for LER, there has not been been established a correlation between LER and surface roughness so far (aerial image?)

27 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

28 Proximity printing at λ = 13.4 nm Mask insert in TEUVL exposure field (1.1 x 4.7 mm2 grating from AIXUV) Distance between mask and wafer is controlled by application of spacers pattern size/wavelength is ~ 4, which corresponds to 1 DUV Insert in aperture system Side view of insert 50nm L/S 1:1, 1µm long 500nm struts

29 Proximity patterning of 50nm with λ = 13.4 nm TEUVL proved feasibility of simple proximity printing of 50 nm 1:1 patterns. Exposure < 2mJ/cm2 in BLR (100 nm top layer/260 nm bottom layer) Intensity Calculation short range: if the gap control is better than 500 nm, aerial image shows good contrast & dose latitude

30 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

31 DoE results pen frame tools can easily be used to pre-optimize the resist processing (bakes, development) before using expensive patterning photons. 4 control variables (PAB, PEB, film thickness, development time) with 3 setting (-, 0, +) were used to optimize resist sensitivity and contrast. With a half-factorial design-of-experiments you optimize the amount of wafers (8) versus the neglection of high order effects (no 3rd orders). tendencies in PAB, PEB, film thickness and development time on resist sensitivity and contrast could be determined and optimized

32 The Response Function of the Half 2 4 Fractional Factorial DoE 2D example corner points 8 experimental data points define 4D plane assumptions: linear monotonous behavior negligible third order effects

33 Resist Sensitivity [mj/cm²] Best Performance R = 100 nm PEB = 135 C SB = 140 C DEV = 60 s Sensitivity depends on PAB, PEB and film thickness, not on development time

34 Resist Contrast [1] Best Performance R = 100 nm PEB = 135 C SB = 120 C DEV = 60 s Contrast is determined by all control variables

35 Some results on non-ideal EUV resists PEB Delay under vacuum Polymer & PAG comparison Main Chain Scissioning & Crosslinking utgassing Surface Roughness, LER Proximity Printing Design of Experiments for microstepper 10x microstepper patterning & process windows

36 Patterning Results Promising model resist candidates from open frame exposures have been tested for patterning performance (resolution, LER, ) Patterning EUV exposures have been performed at the 10x microstepper (0.088 NA) at the EUV-LLC Several resist types performed not significantly worse than the EUV-LLC tool testing resist; although in some cases process modifications are still necessary (adhesion problems) process windows for SLR and BLR on hardmask have been compared; both show similar EDL and high DF at NA

37 Patterning EUV litho data of model resist systems (exposures at EUV-LLC, 10x microstepper) Resist Type E1:1 A B Acrylic MA type I Acrylic MA type II [mj/cm 2 ] Resolution* [nm] LER (100nm, 3σ) [nm] 5,1 80 7,3 Comment Si-containing resist 2, ,8 No crosslinking up to 250 mj/cm 2 C ESCAP 6,8 80 6,2 E neg : 90 mj/cm 2 E PHST 3, ,8 Adhesion problems F PSS 3-6 n.a. n.a. Adhesion problems G Acrylic 6,4 90 H Fujitsu 11, ,0 14,0 * Resolution limited by exposure tool

38 Acrylic MA type I resist BLR on hardmask nm dense lines Bossung-Plot EUV nm 6,1 mj/cm2 6,8 mj/cm2 7,2 mj/cm CD=100nm CD [nm] CD=100nm - 20nm SI Stack: 100 nm top layer 250 nm bottom layer 350 nm oxide/nitride HM 0-1,5-1 -0,5 0 0,5 1 1,5 Focus [µm] 100 nm elbows D 1:1 6,25 mj/cm 2 EDL 14 % DF 2,0 µm nm 100 nm 4 4,5 5 5,5 6 6,5 7 7,5

39 ESCAP type SLR on hardmask 100 nm dense lines Bossung Plot ESCAP-HM 100 nm mj 7,8 mj 8,6 mj CD [nm] Stack: 100 nm SLR layer 350 nm oxide/nitride HM 0-1,5-1 -0,5 0 0,5 1 1,5 145 Focus [µm] nm elbows 95 D 1:1 7,05 mj/cm 2 85 EDL 18 % 75 DF 1,5 µm nm 100 nm 5,5 6 6,5 7 7,5 8 8,5 9

40 Summary A TEUVL has been installed at Infineon Erlangen, which allows early & fast material learning cycles for EUV A number of polymers and PAGs has been investigated for bulk lithographic performance new cross-cut issues are unwanted side reactions (chain scissioning, cross-linking) resist outgassing tests under EUV exposure have been started within MEDEA+ ExCITe surface roughness of EUV processed resists is different for different resist types simple proximity-patterning at 13.4 nm is possible with moderate gap control a comparison of a number of resist types have been performed promising resist candidates have been tested for patterning performance at the EUV-LLC 10x microstepper process windows for SLR and BLR have been compared; both show similar EDL and high DF at NA

41 Acknowledgement I wish to thank all involved people: co-authors EUV project group at Infineon AIXUV EUV-LLC, MEDEA partners, BESSY and Synchrotrone Trieste Special thanks to Bijan Tawassoli for the TEUVL exposures National funding for the BMBF project 01 M 3064A is gratefully acknowledged European funding for the MEDEA T406 ExCITe project is gratefully acknowledged

Progress in EUV resist development

Progress in EUV resist development Progress in EUV resist development T. Shimokawa, T. Kai, D. Shimizu, K. Maruyama, A. Saitou, Y. Hishiro, Semiconductor Materials Laboratory, JSR Corporation. JSR Micro, INC. 2008 International Workshop

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Processing guidelines. Negative Tone Photoresists mr-ebl 6000 Characteristics Processing guidelines Negative Tone Photoresists mr-ebl 6000 mr-ebl 6000 is a chemically amplified negative tone photoresist for the use in micro- and nanoelectronics. - Electron beam sensitive

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Control technology of EUV Optics Contamination:

Control technology of EUV Optics Contamination: EUVL Workshop, Maui, Hawaii Wednesday, June 11, 2008 Control technology of EUV Optics Contamination: Modeling, mitigation and cleaning for lifetime extension Iwao Nishiyama 1 Outline 1. Introduction Requirement

More information

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita EUVL R&D Program in NewSUBARU University of Hyogo Hiroo Kinoshita NewSUBARU Synchrotron Facilities Reflectometer (BL10) IL tool Outgas measu rment system Interference Lithography & New Resist evaluation

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion Debbie Gustafson ENERGETIQ 7/16/2009 Agenda Background on the EQ-10 Electrodeless Z-Pinch TM EUV source Detailed characterization of the

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Atsushi Sekiguchi, Chris A. Mack*, Mariko Isono, Toshiharu Matsuzawa Litho Tech Japan Corp., 2-6-6, Namiki, Kawaguchi,

More information

Resolution, LER, and Sensitivity Limitations of Photoresist

Resolution, LER, and Sensitivity Limitations of Photoresist esolution, LE, and Sensitivity Limitations of Photoresist Gregg M. Gallatin 1, Patrick Naulleau,3, Dimitra Niakoula, obert Brainard 3, Elsayed Hassanein 3, ichard Matyi 4, Jim Thackeray 4, Kathleen Spear

More information

UVIII POSITIVE DUV PHOTO RESISTS

UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESIST is optimized to provide wide process latitude for

More information

In-situ Metrology for Deep Ultraviolet Lithography Process Control

In-situ Metrology for Deep Ultraviolet Lithography Process Control In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden Dr. Uwe Dersch AMTC RD-TD Advanced Mask Technology Center GmbH & Co. KG. History and motivation Integrated process

More information

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan,

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Fullerene Resists. Optimizing RLS. J. Manyam, R.E. Palmer, A.P.G. Robinson Nanoscale Physics Research Laboratory, The University of Birmingham

Fullerene Resists. Optimizing RLS. J. Manyam, R.E. Palmer, A.P.G. Robinson Nanoscale Physics Research Laboratory, The University of Birmingham Fullerene Resists Optimizing RLS J. Manyam, R.E. Palmer, A.P.G. Robinson, The University of Birmingham M. Manickam, J.A. Preece School of Chemistry, The University of Birmingham http://nprl.bham.ac.uk

More information

At wavelength characterization of EUV and soft X-ray gratings

At wavelength characterization of EUV and soft X-ray gratings At wavelength characterization of EUV and soft X-ray gratings F. Scholze, A, Haase, C. Laubis, V. Soltwisch, J. Wernecke, M. Krumrey Physikalisch-Technische Bundesanstalt, Abbestraße 2-12, 10587 Berlin,

More information

In-situ laser-induced contamination monitoring using long-distance microscopy

In-situ laser-induced contamination monitoring using long-distance microscopy In-situ laser-induced contamination monitoring using long-distance microscopy Paul Wagner a, Helmut Schröder* a, Wolfgang Riede a a German Aerospace Center (DLR), Institute of Technical Physics, Pfaffenwaldring

More information

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute in Göttingen, Germany, using a freestanding, 100nm-period

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

New Approach to meet vacuum requirements in UHV/XHV systems by Non Evaporable Getter Technology

New Approach to meet vacuum requirements in UHV/XHV systems by Non Evaporable Getter Technology New Approach to meet vacuum requirements in UHV/XHV systems by Non Evaporable Getter Technology E Maccallini, 1 P Manini, A Conte, F Siviero and A Bonucci SAES 2 Getters S.p.A., viale Italia, 77, 20020,

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

In operandi observation of dynamic annealing: a case. Supplementary Material

In operandi observation of dynamic annealing: a case. Supplementary Material In operandi observation of dynamic annealing: a case study of boron in germanium nanowire devices Supplementary Material Maria M. Koleśnik-Gray, 1,3,4 Christian Sorger, 1 Subhajit Biswas, 2,3 Justin D.

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM. A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM. Neal Sullivan, Tung Mai, Scott Bowdoin* and Ronald Vane** A poster paper presented at Microscopy

More information

Non-Evaporable Getter Coating for UHV/XHV Applications

Non-Evaporable Getter Coating for UHV/XHV Applications Non-Evaporable Getter Coating for UHV/XHV Applications Dr. Oleg B. Malyshev Senior Vacuum Scientist ASTeC Vacuum Science Group, STFC Daresbury Laboratory, UK 11 th February 2010 Two concepts of the ideal

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

Development of block copolymer systems for directed self assembly at the University of Queensland

Development of block copolymer systems for directed self assembly at the University of Queensland Development of block copolymer systems for directed self assembly at the University of Queensland Imelda Keen, Han-Hao Cheng, Anguang Yu, Thomas Bennett, Ya-Mi Chuang, Kevin Jack, Kristofer Thurecht Andrew

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Department of rganic & Polymeric Materials, Graduate School of Science and Engineering, Tokyo Institute of Technology

More information

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett,

More information

Supplementary Figures:

Supplementary Figures: Supplementary Figures: Supplementary Figure 1. Crystal structure of ligand 4 drawn with 50% thermal ellipsoid probability. Hydrogens are omitted for clarity. Zinc atoms are dark blue; sulfur, yellow; phosphorus,

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) Optical Coatings Photonics 4 Luxury Coatings 21.06.2017, Genève Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) RhySearch The Research- and Innovation Center in the Rhine Valley RhySearch

More information

Production and analysis of optical gratings and nanostructures created by laser based methods

Production and analysis of optical gratings and nanostructures created by laser based methods Summary of the Ph.D. thesis Production and analysis of optical gratings and nanostructures created by laser based methods Kiss Bálint Supervisor: Dr. Vass Csaba Research fellow Doctoral School in Physics

More information

Chapter 3 Resist Leaching and Water Uptake

Chapter 3 Resist Leaching and Water Uptake Chapter 3 Resist Leaching and Water Uptake One unique aspect of 193i lithography is the use of water situated between the final lens element and the resist. The resist stack (with or without topcoat) on

More information

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION 40 CHAPTER 4 SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION 4.1 INTRODUCTION Aluminium selenide is the chemical compound Al 2 Se 3 and has been used as a precursor

More information

Optimal design of a beam stop for Indus-2 using finite element heat transfer studies

Optimal design of a beam stop for Indus-2 using finite element heat transfer studies Sādhan ā Vol. 26, Part 6, December 2001, pp. 591 602. Printed in India Optimal design of a beam stop for Indus-2 using finite element heat transfer studies A K SINHA, KJSSAWHNEY andrvnandedkar Synchrotron

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

Photoresist-induced development behavior in DBARCs

Photoresist-induced development behavior in DBARCs Photoresist-induced development behavior in DBARCs Jim D. Meador, Alice Guerrero, Joyce A. Lowes, Charlyn Stroud, Brandy Carr, Anwei Qin, Carlton Washburn, and Ramil-Marcelo L. Mercado Brewer Science,

More information

Femtosecond micromachining in polymers

Femtosecond micromachining in polymers Femtosecond micromachining in polymers Prof. Dr Cleber R. Mendonca Daniel S. Corrêa Prakriti Tayalia Dr. Tobias Voss Dr. Tommaso Baldacchini Prof. Dr. Eric Mazur fs-micromachining focus laser beam inside

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Lawrence Livermore National Laboratory Regina Soufli, Sherry L. Baker, Jeff C. Robinson (LLNL) Eric M. Gullikson

More information

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2 and C. Grant Willson

More information

Impacts of Carbon Impurity in Plasmas on Tungsten First Wall

Impacts of Carbon Impurity in Plasmas on Tungsten First Wall 1 Impacts of Carbon Impurity in Plasmas on First Wall Y. Ueda, T. Shimada, M. Nishikawa Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871, Japan e-mail contact of main

More information

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Introduction Guillermo Acosta, Dr. David Allred, Dr, Steven Turley Brigham Young University

More information

Thickness and composition analysis of thin film samples using FP method by XRF analysis

Thickness and composition analysis of thin film samples using FP method by XRF analysis Technical articles Thickness and composition analysis of thin film samples using FP method by XRF analysis Hikari Takahara* 1. Introduction X-ray fluorescence spectroscopy (XRF) is an elemental quantification

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/term

More information

DETECTION OF LASER ULTRASONIC SURFACE DISPLACEMENT BY WIDE APERTURE FIBER OPTIC AMPLIFIER M.L. Rizzi and F. Corbani CESI, Milano, Italy

DETECTION OF LASER ULTRASONIC SURFACE DISPLACEMENT BY WIDE APERTURE FIBER OPTIC AMPLIFIER M.L. Rizzi and F. Corbani CESI, Milano, Italy DETECTION OF LASER ULTRASONIC SURFACE DISPLACEMENT BY WIDE APERTURE FIBER OPTIC AMPLIFIER M.L. Rizzi and F. Corbani CESI, Milano, Italy Abstract: In the frame of the European Project INCA, CESI is in charge

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them. Overview Any e-beam exposure is highly dependant upon processing and the substrate. This information is provided as a starting point and will required experimentation to optimize things for your work.

More information

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of 1968 Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of Science 2005 Development of Jet 1 Demo EUV Source

More information

Interface quality and thermal stability of laser-deposited metal MgO multilayers

Interface quality and thermal stability of laser-deposited metal MgO multilayers Interface quality and thermal stability of laser-deposited metal MgO multilayers Christian Fuhse, Hans-Ulrich Krebs, Satish Vitta, and Göran A. Johansson Metal MgO multilayers metal of Fe, Ni 80 Nb 20,

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools Eric Cotte a *, Uwe Dersch a, Christian Holfeld a, Uwe Mickan b, Holger Seitz c, Thomas Leutbecher c, and Günter Hess c

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings ARC XL Series Broadband g-line & i-line Anti-Reflective Coatings Why Use a Brewer Science ARC? Resist Resist Substrate ARC Substrate Without Brewer ARC With Brewer ARC Lithography Reflective Problems In

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Fiber Lasers: Technology, Applications and Associated Laser Safety

Fiber Lasers: Technology, Applications and Associated Laser Safety Fiber Lasers: Technology, Applications and Associated Laser Safety Presentation to 2012 LSO Workshop Dr. Jay W. Dawson Fiber Laser Group Leader National Ignition Facility and Photon Sciences Directorate

More information

Sub-micron scale patterning of fluorescent. silver nanoclusters using low-power laser

Sub-micron scale patterning of fluorescent. silver nanoclusters using low-power laser Sub-micron scale patterning of fluorescent silver nanoclusters using low-power laser Puskal Kunwar 1,*, Jukka Hassinen 2, Godofredo Bautista 1, Robin H. A. Ras 2, and Juha Toivonen 1 1 Tampere University

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

CVD Diamond Windows for Synchrotron Radiation Beamlines

CVD Diamond Windows for Synchrotron Radiation Beamlines CVD Diamond Windows for Synchrotron Radiation Beamlines Heinrich Blumer *, Saša Zelenika #, Jakob Ulrich *, Robin Betemps *, Lothar Schulz *, Franz Pfeiffer *, Uwe Flechsig*, Urs Ellenberger *, Christoph

More information

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 3, June 2005, p. 1191-1195 Invited lecture PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION J. Ihlemann * Laser-Laboratorium Göttingen e.v.,

More information

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON Maxim Fadel and Edgar Voges University of Dortmund, High Frequency Institute, Friedrich-Woehler Weg 4, 44227 Dortmund, Germany ABSTRACT

More information