Evaluation of a New Advanced Low-k Material

Size: px
Start display at page:

Download "Evaluation of a New Advanced Low-k Material"

Transcription

1 Evaluation of a New Advanced Low-k Material E. A. Smirnov 1, Kris Vanstreels, Patrick Verdonck, Ivan Ciofi, Denis Shamiryan, and Mikhail R. Baklanov, IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium, smirnov@imec.be 1 also at Moscow Institute of Electronic Technology, Moscow, Russia Mark Phillips SBA Materials, Inc, Albuquerque, NM, USA, markphillips@sbamaterials.com Abstract New advanced low dielectric constant films (Spin-on 2.0) with k=2.0 were prepared by using a self-assembling technology and deposited by the spin-on method. The open porosity of the films was equal to 40% and they exhibit good mechanical properties (Young s modulus for the pristine sample, thermally cured, is 4.77 GPa and hardness is 0.54 GPa). The principal advantage of these films is the absence of sp² carbon that is typically formed in porogen based PECVD films and causes high leakage current. The change of the film s properties after UV assisted thermal curing at T=430 C with lamps having different wavelengths (narrowband with =172 nm and broadband lamp with > 200 nm) was studied. Electrical measurements show a small increase of the k-value, however FTIR spectroscopy shows no bulk and surface hydrophilization after the curing. Observed decreases in thickness and porosity indicate densification of the matrix. 1. Introduction The latest generations of Ultra-Large Scale Integration (ULSI) Circuits needs Cu/low-k technology to reduce the capacitance delays between the metal wires in ULSI interconnects. 1) Various materials and methods have been developed for deposition of low-k films during the last years but the plasma enhanced chemical vapor deposition (PECVD) technology of porous organo-silicate glasses (OSG) is the most

2 popular at the present. The reason is the good compatibility of PECVD technology with existing ULSI technology and possibility to deposit relatively good quality films with dielectric constant in the range of However, the requirements are constantly becoming more stringent and more advanced materials are needed to implement the next interconnection node. These materials should have lower k-values (less than 2.3), remain hydrophobic to prevent water adsorption and have good mechanical properties to withstand CMP and packaging. Today, PECVD materials are not able to satisfy all the integration requirements due to the uncontrollable process of pore formation and to the porogen residue formed during the non-optimized UV curing. 2) This residue often contains sp²-hybridized carbon that increases the leakage current and decreases the breakdown voltage by forming energy levels in the oxide band gap. 3) In order to overcome the problems with PECVD porogen residues, spin-on materials with self-assembled porous structures have been investigated. The porous structures of these materials are formed without the use of sacrificial porogens and there is no risk of residue formation during the UV curing. Therefore, it is important to find a good balance between improvement of mechanical properties and increase of k-value during UV curing. In this work, we studied a novel Spin on 2.0 low-k material from one of the latest generations of ULK materials based on a self-assembling approach. This material has no brittle fracture in comparison to CVD materials. The advantages of this material are sufficient mechanical properties, capability to remain hydrophobic even after partial removal of the CH 3 groups, and absence of porogen residue. II.Experimental The material has been prepared using a self-assembled technology to form nanocomposite structures with controlled structure and physical properties engineered at the

3 nanometer scale. These materials have a composition similar to hybrid PECVD materials with k-value The spin-on low-k film is formed by condensing a hydrolyzed alkylated silica sol in the presence of a polymeric surfactant. This surfactant acts as a template to produce a regular porous structure as the film dries. Upon anneal the surfactant acts as a porogen and evaporates, leaving behind a porous silica network with alkyl groups passivating the internal and external surfaces of the film. In this work we studied the pristine (thermally cured) material properties and their modifications after UV curing at 430 o C. To study the curing dependence on the wavelength, we used two different lamps: one with 172±15 nm near-monochromatic light (lamp A) another broadband one with wavelength range above 200 nm (lamp B). The different sources were used to study and find optimal conditions allowing cross-linked skeleton formation and avoid degradation after exposure. The thickness, porosity, pore size and refractive index of low-k films deposited on 300 mm Si wafers were measured by spectroscopic ellipsometry SE 801 ( nm) and ellipsometric porosimetry EP-10. 4) Mechanical properties, Young s modulus and hardness of the films were measured using a Nanoindenter XP system (MTS Systems Corporation) with a dynamic contact module and a continuous stiffness measurement option under the constant strain rate condition. A standard three-sided pyramid diamond indenter tip (Berkovich) was used for the indentation experiments. As the indenter tip is pressed into each sample, both depth of penetration h and the applied load P are monitored. In order to exclude a potential error in YM values, different film thicknesses were investigated. 5) The chemical structure of the films was characterized by UV ellipsometry and FTIR spectroscopy in N 2 atmosphere (Nicolet 6400). UV ellipsometry operated in the spectral range of 150 to 895 nm at an incidence angle of 70º using Aleris SE from KLA-Tencor. The results were fitted by a single layer optical model using the Marquardt Levenberg algorithm. 2) The capacitance measurements for k-value extraction were performed by using Metal-Insulator-Semiconductor (MIS) planar capacitors as a test vehicle (Fig. 1). The blanket wafers were diced in samples of 45 mm x 45 mm and

4 metal dots were deposited through a shadow mask by e-beam evaporation. Finally, the samples were provided with an ohmic back contact by applying Ga-In alloy paste on the scratched backside, following the practical method described by Ciofi et al. 6) The capacitance-voltage (CV) measurements were performed by means of an impedance analyzer HP4284A precision LCR meter, in the frequency range from 10 khz to 1 MHz. 7) Figure 1. Schematic cross-section of the fabricated MIS capacitors. III. Results and discussion In contrast to PECVD low-k materials, the pores in the studied low-k films were created without sacrificial porogen molecules by using self-assembled technology to form engineered nano-composite structures and have the open porosity of 40% and pore radius of 2.06 nm (Fig. 2 a, Fig. 2 b). Therefore, it was expected that these films do not contain any porogen residues. It has already been established that the porogen residues in PECVD films produce several peaks in the region from 3 to 7 ev in the dispersion of the optical extinction coefficient, as measured by UV ellipsometry. 2) When compared with PECVD low-k films, the new material exhibits almost no extinction in that region, as can be seen from Figure 3. One can conclude that the studied ULK material is almost free of porogen residues. Upon UV assisted thermal curing of the Spin-on low-k film, its Young s modulus strongly increased from the pristine value 4.77 ± 0.39 GPa to 9.2 ± 0.69 GPa with

5 increasing of curing time by lamp A. In case of lamp B the mechanical properties (YM) were increased not so strongly from 4.77 ± 0.39 GPa to 5.78 ± 0.33 GPa (Fig. 4). UV curing is also accompanied by shrinkage (Table 1). (a) (b) Figure 2. Adsorption and Desorption isotherm of Spin-on 2.0 (a). Pore size distribution in the material (b). Figure 3. UV spectra of typical porogen based CVD 2.3 and porogen-free Spin-on 2.0 materials.

6 Figure 4. Young s modulus changes after UV curing by the lamp A versus lamp B with values of hydrophobosity parameters. Mechanical properties are strongly improved in the course of curing time by the lamp A and to much lower extent with the lamp B. The degree of shrinkage was equal to 8% after 500 s in lamp A and only 3% after lamp B. UV curing also leads to partial hydrophilization of the low-k surface. The water contact angle has decreased from 80 o to 67 o after curing by lamp A; a much smaller reduction was observed after curing by lamp B (from 80 o to 74 o ). Table I. The results of k-value extraction. Sample Thickness (nm) K-value Water contact Angle ( ) Pristine ± sec Narrowband ± sec Broadband ± Table 1 shows a significant increase of k-value, from 2.15±0.1 to 2.57±0.1 after lamp A exposure and to 2.17±0.1 after lamp B exposure. There are at least two possible reasons for the increase of mechanical properties and the k-value upon UV curing. First, the material densification is clearly seen from the Table 1 and there also partial

7 hydrophilization due to the UV assisted destruction/modification of the top part of low-k film may enable water adsorption. Figure 5 shows FTIR spectra of Spin-on 2.0 films before and after UV curing. The FTIR spectra are typical of SiOCH-type materials and contain an absorption band related to the Si O Si network at cm 1, a shoulder of a cage like structure cm 1, a suboxide absorption band cm 1, and an absorption band related to Si CH 3 bonds cm 1. Almost no change of spectra was observed after broadband lamp B. Meanwhile the change of FTIR spectra is more significant after narrowband lamp A. A clear shift to higher wave numbers of the Si O Si absorption band is observed. The amount of methyl bonds was decreased with a simultaneous shift to higher wave numbers and a reduction of the band/peak responsible for the presence of the cage like structures is observed (Fig. 5). These changes clearly suggest that UV curing by the lamp A reduce the concentration of CH 3 bonds by scission of Si-CH 3 bonds and forms a more SiO 2 -like structure. These observations are in agreement with the results of the work published by L. Prager where the possibility of breaking of Si-CH 3 bonds by UV radiation with wave lengths shorter that 200 nm was demonstrated using quantum-chemical calculations. 8) However, it is interesting that no water peak was found in the structure. It means the bulk of the material remains hydrophobic. UV curing also leads to structural changes. The second hypothesis seems to be correct, since the ellipsometric measurements showed that the thickness reduction from 202 nm to 184 nm after lamp A curing and from 202 nm to 194 nm in case of lamp B curing. In addition to the thickness reduction (Table 1), the pore size distribution shifted towards smaller pores, as can be seen from Figure 6. The mean pore radius decreased from 2.06 nm to 1.72 nm after curing with lamp A and showed a minor decrease (comparable with the EP tool resolution) after lamp B. In order to find the reason of the thickness reduction and increasing of k-value we used a theoretical model to calculate possible k-value deterioration. We used change of refractive indices and Lorentz-Lorentz equation to

8 estimate change of the film density and possible impact of this change on dielectric constant using Clausius-Mossotti equation 1). (a) (b) (c) (d) Figure 5. FTIR spectra of pristine and UV cured samples with the lamp A (a) and lamp (b) by different time treatments (100 sec, 250 sec and 500 sec) and the zoom area of Si- CH 3 peak (c,d).

9 (a) (b) Figure 6. Pore radius distribution in pristine and UV cured low-k material with the lamp A by 500 sec (Fig. 6 a.) and with lamp B (Fig. 6 b.). The pore radius decreases from 2.06 nm to 1.72 nm (Fig. 6 a.) after lamp A. Almost no changes after UV cure by lamp B (Fig. 6 b.) Very small change of refractive index and corresponding densification of the samples cured by lamp B correlates with the k-value measured by MIS structure. However the k- value of the samples cured with lamp A shows that the measured k-value is defined not only by densification but also affected by the water adsorbed on low-k surface. This also correlates with WCA results (Table 1). When compared with other low-k materials that we characterized earlier, the present spin on material exhibits satisfactory physical properties. Figure 7 illustrates the four most important properties modern low-k materials have to possess in order to be successfully integrated into modern interconnects: dielectric constant, Young s modulus, porosity and pore radius. One can see that the studied low-k dielectric falls into the desired zones; moreover, UV curing with lamp B (broadband > 200 nm) improves Young's modulus while limiting increase in the k value. In contrast, curing with lamp A puts the low-k

10 material outside the desired zone; the increase in k negates/more than offsets the positive effect of the shorter wavelength on mechanical robustness. (a) (b) (c) Figure 7. Comparison of the four most important properties of the available low-k. The grey area shows a requirements of new low-k materials with k-value less than 2.3 for the next interconnection node (a) and (b) with possible Young s modulus above 5 GPa (c). IV. Conclusions A new spin on 2.0 low-k material is studied. It has the pristine, thermally cured k-value of 2.15 with pore radius of 2.06 nm and porosity of 40%. The Young s Modulus and hardness are equal to 4.77 GPa and 0.54 GPa, respectively. It is shown that using the selfassembled technology of pore formation, the material is able to have low k-value with good mechanical characteristics. Another advantage of this material is the absence of porogen residue (in the form of sp 2 carbon). UV curing changed the properties of the material. We demonstrated that the mechanical properties are improved (Young s Modulus increased from 4.77 GPa to 9.2 GPa with lamp A and to 5.78 GPa with lamp B, and hardness increased from 0.54 GPa to 0.80 GPa with lamp A and to 0.62 with lamp B by 500 seconds treatment) while k-value has increased. The increase of k-value is mainly

11 caused by densification of the matrix in the case of broadband lamp with l>200 nm. The changes introduced by lamp A are more pronounced than those introduced by lamp B due to higher energy of the former. The increase of the k-value, introduced by the narrowband UV curing lamp (A) is unacceptably high because it affected not only by densification but also by water adsorbed on the top surface. However, since the UV curing does not introduce bulk damage (hydrophilization), it should be possible to find a good balance between improvement of the mechanical properties and deterioration of the k-value by optimizing the curing conditions. References 1) K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S. Brongersma, Z. Sh.Yanovitskaya, J. Appl. Phys. 93 (11), 8793 (2003). 2) P. Marsik, P. Verdonck, D. de Roest, M. R. Baklanov. Thin Solid Films. 518 (15), 4266 (2010). 3) V. V. Afanas ev, A. Stesmans, M. O. Andersson. Phys.Rev. B 54, (1996) 4) M. R. Baklanov, K. P. Mogilnikov, V. G. Polovinkin, and F. N. Dultsev, J. Vac. Sci.Technol. B, 18, 1385 (2000). 5) K. Vanstreels and A. M. Urbanowicz, J. Vac. Sci. Technol. B 28, 173 (2010). 6) I. Ciofi, M. R. Baklanov, Zs. Tokei, G. P. Beyer. Microelectronic Eng. 87 (2010) 2391 (2010). 7) Hewlett Packard, Model 4284A Operation Manual, ) L. Prager, P. Marsik, L. Wennrich, M. R. Baklanov, S. Naumov, L. Pistol, D. Schneider, J. W. Gerlach, P. Verdonck, M. R. Buchmeiser. Microelectronic Eng. 85, 2094 (2008) Acknowledgement Spin-on low-k materials provided by SBA Materials, Inc

12

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd SRC/SEMATECH ERC for Environmentally Benign Semiconductor Manufacturing Depositing and Patterning a Robust and Dense Low-k Polymer by icvd December 11, 2008 Nathan J. Trujillo Karen K. Gleason Anatomy

More information

Stress corrosion of organosilicate glass films in aqueous environments: role of ph

Stress corrosion of organosilicate glass films in aqueous environments: role of ph Stress corrosion of organosilicate glass films in aqueous environments: role of ph Author Iacopi, F., Elia, C., Fournier, T., Sinapi, F., Travaly, Y. Published 2008 Journal Title Journal of Materials Research

More information

CHALLENGES IN IMPLEMENTATION OF LOW-K DIELECTRICS IN ADVANCED ULSI INTERCONNECTS

CHALLENGES IN IMPLEMENTATION OF LOW-K DIELECTRICS IN ADVANCED ULSI INTERCONNECTS CHALLENGES IN IMPLEMENTATION OF LOW-K DIELECTRICS IN ADVANCED ULSI INTERCONNECTS Mikhail R. Baklanov North China University of Technology, Beijing Outline Introduction Why low-k and why needed? ITRS roadmap

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Preparation of Low-k Porous SiO 2 Films by SiO 2 /Organic Hybrid Chemical Vapor Deposition Akira Fujimoto and Osamu Sugiura Department of Physical Electronics, Tokyo Institute of Technology, 2-2-, O-okayama,

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes Dr Eb Andideh Intel Corporation Logic Technology Development Contact: ebrahimandideh@intelcom 1 Disclaimer

More information

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 2492 2496 Part, No. 5A, May 2000 c 2000 The Japan Society of Applied Physics Passivation of O 2 / Interfaces Using High-Pressure-H 2 O-Vapor Heating Keiji SAKAMOTO

More information

Supplementary Figure 1 TEM of external salt byproducts. TEM image of some salt byproducts precipitated out separately from the Si network, with

Supplementary Figure 1 TEM of external salt byproducts. TEM image of some salt byproducts precipitated out separately from the Si network, with Supplementary Figure 1 TEM of external salt byproducts. TEM image of some salt byproducts precipitated out separately from the Si network, with non-uniform particle size distribution. The scale bar is

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Red luminescence from Si quantum dots embedded in SiO x films grown with controlled stoichiometry

Red luminescence from Si quantum dots embedded in SiO x films grown with controlled stoichiometry Red luminescence from Si quantum dots embedded in films grown with controlled stoichiometry Zhitao Kang, Brannon Arnold, Christopher Summers, Brent Wagner Georgia Institute of Technology, Atlanta, GA 30332

More information

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1% We are IntechOpen, the first native scientific publisher of Open Access books 3,350 108,000 1.7 M Open access books available International authors and editors Downloads Our authors are among the 151 Countries

More information

Fabrication of sub-100nm thick Nanoporous silica thin films

Fabrication of sub-100nm thick Nanoporous silica thin films Fabrication of sub-100nm thick Nanoporous silica thin films Abstract M. Ojha, W. Cho, J. L. Plawsky, W. N. Gill Department of chemical and biological engineering, Rensselaer Polytechnic Institute Low refractive

More information

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells The MIT Faculty has made this article openly available. Please share how this access benefits

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Optical and Mechanical Properties of Toluene-TEOS Hybrid Plasma-Polymer Thin Films Deposited by Using PECVD

Optical and Mechanical Properties of Toluene-TEOS Hybrid Plasma-Polymer Thin Films Deposited by Using PECVD Journal of the Korean Physical Society, Vol. 55, No. 5, November 2009, pp. 1780 1784 Optical and Mechanical Properties of Toluene-TEOS Hybrid Plasma-Polymer Thin Films Deposited by Using PECVD S.-J. Cho,

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

BLOCK COPOLYMERS ORGANIZATION AT INTERFACE

BLOCK COPOLYMERS ORGANIZATION AT INTERFACE THE 19 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS BLOCK COPOLYMERS ORGANIZATION AT INTERFACE D.Fischer, S. Bistac *, M. Brogly, Université de Haute Alsace, LPIM, Mulhouse France * Corresponding

More information

1 Low and Ultralow Dielectric Constant Films Prepared by Plasma-enhanced Chemical Vapor Deposition

1 Low and Ultralow Dielectric Constant Films Prepared by Plasma-enhanced Chemical Vapor Deposition 1 Low and Ultralow Dielectric Constant Films Prepared by Plasma-enhanced Chemical Vapor Deposition A. Grill IBM, T.J. Watson Research Center, Yorktown Heights, NY, USA 1.1 Introduction 1 1.2 Property Requirements

More information

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY Q. T. LE*, E. KESTERS*, Y. AKANISHI**, A. IWASAKI**, AND F. HOLSTEYNS* * IMEC, LEUVEN, BELGIUM ** SCREEN SEMICONDUCTOR

More information

Study on Infrared Absorption Characteristics of Ti and TiN x Nanofilms. Mingquan Yuan, Xiaoxiong Zhou, Xiaomei Yu

Study on Infrared Absorption Characteristics of Ti and TiN x Nanofilms. Mingquan Yuan, Xiaoxiong Zhou, Xiaomei Yu 10.119/1.36982 The Electrochemical Society Study on Infrared Absorption Characteristics of Ti and TiN x Nanofilms Mingquan Yuan, Xiaoxiong Zhou, Xiaomei Yu National Key Laboratory of Science and Technology

More information

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Applied Surface Science 212 213 (2003) 388 392 Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Marcus A. Pereira, José A. Diniz, Ioshiaki Doi *, Jacobus W. Swart

More information

Optimized CMP of ULK Dielectrics

Optimized CMP of ULK Dielectrics Optimized CMP of ULK Dielectrics Taek-Soo Kim Markus Ong Reinhold H. Dauskardt (dauskardt@stanford.edu) Collaborations: Tatsuya Yaman and Tomohisa Konno JSR Micro, Inc. Research supported by the SRC, DOE

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Low-k Interlayer Dielectrics for 65 nm-node LSIs GPa Low-k Nano Clustering Silica NCS. Abstract

Low-k Interlayer Dielectrics for 65 nm-node LSIs GPa Low-k Nano Clustering Silica NCS. Abstract 65 nm LSI Low-k Interlayer Dielectrics for 65 nm-node LSIs 56, 4, 07,2005 65 nm LSI 2.25 10 GPa Low-k Nano Clustering SilicaNCS 1 NCS 2.8 nm NCS65 nm NCS Low-k Cu/NCS Cu NCS NCS LSI Abstract We have developed

More information

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces A. P. Young a) Department of Electrical Engineering, The Ohio State University, Columbus,

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

Microstructure and Mechanical Properties of Surfactant Templated Nanoporous Silica Thin Films: Effect of Methylsilylation

Microstructure and Mechanical Properties of Surfactant Templated Nanoporous Silica Thin Films: Effect of Methylsilylation 0013-4651/2003/150 6 /F123/5/$7.00 The Electrochemical Society, Inc. Microstructure and Mechanical Properties of Surfactant Templated Nanoporous Silica Thin Films: Effect of Methylsilylation J. Y. Chen,

More information

Nanodiamond-Polymer Composite Fibers and Coatings

Nanodiamond-Polymer Composite Fibers and Coatings Nanodiamond-Polymer Composite Fibers and Coatings Yury Gogotsi et al. A.J. Drexel Nanotechnology Institute and Department of Materials Science and Engineering Drexel University, Philadelphia, Pennsylvania

More information

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen Ž. Applied Surface Science 161 2000 54 60 www.elsevier.nlrlocaterapsusc Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen Andreas Othonos a,),

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Optical Constants of Ge and GeO 2 from Ellipsometry

Optical Constants of Ge and GeO 2 from Ellipsometry Optical Constants of Ge and GeO 2 from Ellipsometry T. Nathan Nunley, Nalin Fernando, Jaime Moya, Nuwanjula S. Samarasingha, Cayla M. Nelson, Stefan Zollner Department of Physics, New Mexico State University,

More information

NANOINDENTATION OF SILICON CARBIDE WAFER COATINGS

NANOINDENTATION OF SILICON CARBIDE WAFER COATINGS NANOINDENTATION OF SILICON CARBIDE WAFER COATINGS Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 9618 P: 949.461.99 F: 949.461.93 nanovea.com Today's standard for tomorrow's materials. 010 NANOVEA

More information

Dielectric Films for Advanced Microelectronics

Dielectric Films for Advanced Microelectronics Dielectric Films for Advanced Microelectronics Edited by Mikhail Baklanov IMEC, Leuven, Belgium Martin Green NIST, Gaithersburg, Maryland, USA and Karen Maex IMEC, Leuven, Belgium 31CENTENNIAL John Wiley

More information

ECE 541/ME 541 Microelectronic Fabrication Techniques

ECE 541/ME 541 Microelectronic Fabrication Techniques ECE 541/ME 541 Microelectronic Fabrication Techniques MW 4:00-5:15 pm Metrology and Characterization Zheng Yang ERF 3017, email: yangzhen@uic.edu ECE541/ME541 Microelectronic Fabrication Techniques Page

More information

Examples of dry etching and plasma deposition at Glasgow University

Examples of dry etching and plasma deposition at Glasgow University Examples of dry etching and plasma deposition at Glasgow University Glasgow has pioneered and established many novel research activities involving the development of new dry etch processes and dry etch

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Chapter 6. Delamination Phenomena

Chapter 6. Delamination Phenomena 86 Chapter 6 This section discusses delamination phenomena of ferroelectric capacitors, as a function of hydrogen-induced degradation. The data will be published in the Japanese Journal of Applied Physics

More information

OPTICAL CHARACTERISTICS OF CARBON NITRIDE FILMS PREPARED BY HOLLOW CATHODE DISCHARGE *

OPTICAL CHARACTERISTICS OF CARBON NITRIDE FILMS PREPARED BY HOLLOW CATHODE DISCHARGE * Journal of Optoelectronics and Advanced Materials Vol., No., December 000, p. 5-55 OPTICAL CHARACTERISTICS OF CARBON NITRIDE FILMS PREPARED BY HOLLOW CATHODE DISCHARGE * M. Balaceanu, E. Grigore, G. Pavelescu

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

POROUS THIN FILMS CHARACTERIZED BY SPECTROSCOPIC ELLIPSOMETRY

POROUS THIN FILMS CHARACTERIZED BY SPECTROSCOPIC ELLIPSOMETRY POROUS THIN FILMS CHARACTERIZED BY SPECTROSCOPIC ELLIPSOMETRY Hui Zhang Dept. of Electrical and Computer Engineering, Oregon Graduate Institute of Science and Technology, Beaverton, OR 97006. (now at Micron

More information

Deposition of C-F Thin Films by Sputtering and Their Micromechanical Properties

Deposition of C-F Thin Films by Sputtering and Their Micromechanical Properties M. New Wang Diamond et al. and Frontier Carbon Technology 29 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 472 Deposition of C-F Thin Films by Sputtering and Their Micromechanical Properties Mei Wang, Shuichi Watanabe

More information

Spectroscopic Ellipsometry Characterization of Thin-Film Silicon Nitride

Spectroscopic Ellipsometry Characterization of Thin-Film Silicon Nitride Note: This is a preprint of a paper being submitted for publication. Contents of this paper should not be quoted nor referred to without permission of the author(s). Spectroscopic Ellipsometry Characterization

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

2-1 Introduction The demand for high-density, low-cost, low-power consumption,

2-1 Introduction The demand for high-density, low-cost, low-power consumption, Chapter 2 Hafnium Silicate (HfSi x O y ) Nanocrystal SONOS-Type Flash Memory Fabricated by Sol-Gel Spin Coating Method Using HfCl 4 and SiCl 4 as Precursors 2-1 Introduction The demand for high-density,

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Carlos M. Bledt * a, Daniel V. Kopp a, and James A. Harrington a

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7 EE143 Fall 2016 Microfabrication Technologies Lecture 9: Metallization Reading: Jaeger Chapter 7 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Interconnect 2 1 Multilevel Metallization

More information

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Erten Eser, Steven S. Hegedus and Wayne A. Buchanan Institute of Energy Conversion University of Delaware, Newark,

More information

PULSED LASER DEPOSITION OF DIAMOND-LIKE AMORPHOUS CARBON FILMS FROM DIFFERENT CARBON TARGETS

PULSED LASER DEPOSITION OF DIAMOND-LIKE AMORPHOUS CARBON FILMS FROM DIFFERENT CARBON TARGETS Publ. Astron. Obs. Belgrade No. 89 (2010), 125-129 Contributed Paper PULSED LASER DEPOSITION OF DIAMOND-LIKE AMORPHOUS CARBON FILMS FROM DIFFERENT CARBON TARGETS V. GONCHAROV, G. GUSAKOV, M. PUZYREV, M.

More information

Evaluation of Failure in Low-k Films Using Stiffness Mapping and Dynamic Imaging

Evaluation of Failure in Low-k Films Using Stiffness Mapping and Dynamic Imaging Evaluation of Failure in Low-k Films Using Stiffness Mapping and Dynamic Imaging Application Note Introduction Fracture toughness and scratch failure of thin films on the nano-scale is of particular interest

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Materials Science and Engineering: An Introduction

Materials Science and Engineering: An Introduction Materials Science and Engineering: An Introduction Callister, William D. ISBN-13: 9780470419977 Table of Contents List of Symbols. 1 Introduction. 1.1 Historical Perspective. 1.2 Materials Science and

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation 15th International School-Conference New materials Materials of innovative energy: development, characterization methods and application Volume 2018 Conference Paper Formation of High-quality Aluminum

More information

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications Theory, Design, and Fabrication Carlos M. Bledt a, James A. Harrington a, and Jason M.

More information

Preparation of Large-area, Crack-free Polysilazane-based Photonic. Crystals

Preparation of Large-area, Crack-free Polysilazane-based Photonic. Crystals Supporting Information Preparation of Large-area, Crack-free Polysilazane-based Photonic Crystals Zongbo Zhang a,c, Weizhi Shen a,c, Changqing Ye a,c, Yongming Luo a, Shuhong Li b, Mingzhu Li a,*, Caihong

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Hydrogenated Amorphous Silicon Nitride Thin Film as ARC for Solar Cell Applications

Hydrogenated Amorphous Silicon Nitride Thin Film as ARC for Solar Cell Applications ISSN 2278 211 (Online) Hydrogenated Amorphous Silicon Nitride Thin Film as ARC for Solar Cell Applications Dr. G. Natarajan Professor & Head, Department of Physics PSNA College of Engineering and Technology,

More information

Self-aligned via and trench for metal contact in III-V semiconductor devices

Self-aligned via and trench for metal contact in III-V semiconductor devices Self-aligned via and trench for metal contact in III-V semiconductor devices Jun Fei Zheng a Intel Corporation, Santa Clara, California 95052 Hilmi Volkan Demir Nanotechnology Research Center, Department

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. Supplementary Figures Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. (a-d) The optical images of three BP flakes on a SiO 2 substrate before (a,b) and after annealing (c,d) at

More information

IR spectra of ICPCVD SiNx thin films for MEMS structures

IR spectra of ICPCVD SiNx thin films for MEMS structures Journal of Physics: Conference Series PAPER OPEN ACCESS IR spectra of ICPCVD SiNx thin films for MEMS structures To cite this article: G Rudakov and I Reshetnikov 2015 J. Phys.: Conf. Ser. 643 012063 View

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

High Performance Optical Waveguides based on Boron and Phosphorous doped Silicon Oxynitride

High Performance Optical Waveguides based on Boron and Phosphorous doped Silicon Oxynitride High Performance Optical Waveguides based on Boron and Phosphorous doped Silicon Oxynitride Fei Sun*, Alfred Driessen, Kerstin Wörhoff Integrated Optical Micro Systems group, MESA+ Research Institute for

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Supplementary Figure 1 XPS spectra of the Sb 2 Te 3 ChaM dried at room temperature near (a) Sb region and (b) Te region. Sb 3d 3/2 and Sb 3d 5/2

Supplementary Figure 1 XPS spectra of the Sb 2 Te 3 ChaM dried at room temperature near (a) Sb region and (b) Te region. Sb 3d 3/2 and Sb 3d 5/2 Supplementary Figure 1 XPS spectra of the Sb 2 Te 3 ChaM dried at room temperature near (a) Sb region and (b) Te region. Sb 3d 3/2 and Sb 3d 5/2 peaks correspond to Sb metallic bonding and the peaks of

More information

Atomic and electrical characterisation of amorphous silicon passivation layers

Atomic and electrical characterisation of amorphous silicon passivation layers Vailable online at www.sciencedirect.com Energy Procedia 27 (2012 ) 185 190 SiliconPV: April 03-05, 2012, Leuven, Belgium Atomic and electrical characterisation of amorphous silicon passivation layers

More information

Merle D. Yoder, Jr. 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

Merle D. Yoder, Jr. 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT LOW TEMPERATURE DEPOSITION OF FILMS BY ECR INT~0DUCTION Merle D. Yoder, Jr. 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT SiO films of high quality have been depositeä

More information

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer Analysis of Oxide Thickness Measurement Techniques of SiO2: Nanometrics Nanospec Reflectometer and Color Chart Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering

More information

Nanoindentation of Silicate Low-K Dielectric Thin Films

Nanoindentation of Silicate Low-K Dielectric Thin Films Mat. Res. Soc. Symp. Proc. Vol. 716 2002 Materials Research Society Materials Research Society Spring 2002 Meeting: Symposium B.12.13: Silicon Materials-Processing, Characterization, and Reliability Nanoindentation

More information

Crystalline Silicon Technologies

Crystalline Silicon Technologies Crystalline Silicon Technologies in this web service in this web service Mater. Res. Soc. Symp. Proc. Vol. 1210 2010 Materials Research Society 1210-Q01-01 Hydrogen Passivation of Defects in Crystalline

More information

SnO 2 Thin Films Prepared by Sol Gel Method for Honeycomb Textured Silicon Solar Cells

SnO 2 Thin Films Prepared by Sol Gel Method for Honeycomb Textured Silicon Solar Cells ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 10, Number 1, 2007, 25 33 SnO 2 Thin Films Prepared by Sol Gel Method for Honeycomb Textured Silicon Solar Cells E. MANEA 1, E. BUDIANU 1,

More information

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN E. Dogmus, A. Linge, T. Defais, R. Kabouche, R. Pecheux, M. Zegaoui Lille city centre Where are we? National Network of Large Technological

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates.

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates. ET3034TUx - 5.2.1 - Thin film silicon PV technology 1 Last week we have discussed the dominant PV technology in the current market, the PV technology based on c-si wafers. Now we will discuss a different

More information

Published in: Proceedings of the 20th Annual Symposium of the IEEE Photonics Benelux Chapter, November 2015, Brussels, Belgium

Published in: Proceedings of the 20th Annual Symposium of the IEEE Photonics Benelux Chapter, November 2015, Brussels, Belgium Low optical loss n-type ohmic contacts for InP-based membrane devices Shen, L.; Veldhoven, van, P.J.; Jiao, Y.; Dolores Calzadilla, V.M.; van der Tol, J.J.G.M.; Roelkens, G.; Smit, M.K. Published in: Proceedings

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity Supporting Information Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S Exhibiting Low Resistivity and High Infrared Responsivity Wen-Ya Wu, Sabyasachi Chakrabortty, Asim Guchhait, Gloria Yan

More information

PREMETAL PLANARIZATION USING SPIN-ON-DIELECTRIC. Fred Whitwer, Tad Davies, Craig Lage National Semiconductor Corp., Puyallup, WA, 98373

PREMETAL PLANARIZATION USING SPIN-ON-DIELECTRIC. Fred Whitwer, Tad Davies, Craig Lage National Semiconductor Corp., Puyallup, WA, 98373 PREMETAL PLANARIZATION USING SPIN-ON-DIELECTRIC Fred Whitwer, Tad Davies, Craig Lage National Semiconductor Corp., Puyallup, WA, 98373 ABSTRACT A silicate type spin-on-glass (SOG) has been used to planarize

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

Optimization of Water based Optical Filter for Concentrated Crystalline Si PV/T System - A Theoretical Approach

Optimization of Water based Optical Filter for Concentrated Crystalline Si PV/T System - A Theoretical Approach Research Article International Journal of Current Engineering and Technology E-ISSN 2277 46, P-ISSN 2347-56 24 INPRESSCO, All Rights Reserved Available at http://inpressco.com/category/ijcet Optimization

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

ELECTRICAL PROPERTIES OF CDS THIN FILMS SPIN COATED ON CONDUCTIVE GLASS SUBSTRATES

ELECTRICAL PROPERTIES OF CDS THIN FILMS SPIN COATED ON CONDUCTIVE GLASS SUBSTRATES UDC: 538.9 Condensed matter Physics, Solid state Physics, Experimental Condensed matter Physics ELECTRICAL PROPERTIES OF CDS THIN FILMS SPIN COATED ON CONDUCTIVE GLASS SUBSTRATES P. Samarasekara and P.A.S.

More information