Inkjet printing of oxide thin films and nanoparticles with potential use for anti-counterfeiting films and patterns

Size: px
Start display at page:

Download "Inkjet printing of oxide thin films and nanoparticles with potential use for anti-counterfeiting films and patterns"

Transcription

1 1 Inkjet printing of oxide thin films and nanoparticles with potential use for anti-counterfeiting films and patterns M. Vilardell, V.R. Vlad, X. Sintas, A. Calleja Oxolutia S.L., Edifici Eureka, PRUAB, Campus de la UAB, Bellaterra, Spain S. Ricart, X. Granados, T. Puig, X. Obradors Institut de Ciència de Materials de Barcelona (ICMAB-CSIC), Campus de la UAB, Bellaterra, Spain

2 Motivation Functional ceramic oxides The unique and high value-added properties of functional ceramic oxides have enabled a significant number of scientific questions and applications Motivation To fully exploit these developments, both the search for and the implementation of low cost and scalable technologies are crucial if they are to have an impact in fields such as electronics, medicine and science, industrial processes, transport and power engineering 2 2

3 Functional ceramic oxides Functionalities: magnetoresistance, UV-upconversion, semiconductivity, piezoelectricity, thermocromicity, etc. Applications Functional devices Films Patterns Chemical approach (CSD, CVD, MOCVD ) Physical approach (PLD, PVD, sputtering ) 3

4 Functional ceramic oxides Functionalities: superconductivity, magnetoresistance, semiconductivity, ferroelectricity, piezoelectricity, etc. Applications Functional devices Full coatings Patterned coatings Chemical approach (CSD, CVD, MOCVD ) Physical approach (PLD, PVD, sputtering ) Chemical Solution Deposition (CSD) 4

5 Chemical Solution Deposition (CSD) and functional ceramic oxides YBa 2 Cu 3 O 7-x on LaAlO 3 SrTiO 3 on silicon BaTiO 3 on silicon Obradors et al, (2006), Supercond. Sci. Technol., 19, S13 (2006) La 0.7 Sr 0.3 MnO 3 on quartz Schwartz et al, C.R. Chimie 7, 433 (2004) CeO 2 on LaAlO 3 Schwartz et al, C.R. Chimie 7, 433 (2004) Pb(Zr x Ti 1-x )O 3 /SrTiO 3 Carretero-Genevrier et al, Adv, Mater., 20, 3672 (2008) CSD versatile, low-cost processing and scalable to large areas Deposition in standard room conditions 0.5 µm Gibert et al., Adv, Mater., 19, 3937(2007) 400 nm Szafraniak et al., APL, 83, 2211 (2003) High degree of control over the composition of the functional oxide 5

6 6 Chemical Solution Deposition (CSD) 1) Precursor solution synthesis Metalorganic precursors in appropriate solvents 2) Precursor solution deposition Spin coating, dip coating, inkjet printing 3) Thermal treatment to obtain the ceramic oxide Two-step process: removal of the organic precursors at low temperatures ( ºC under special circumstances) (pyrolysis process), and crystallization into the desired ceramic phase in a second step at higher temperatures ( 700ºC) (growth process).

7 Precursor solution deposition Full coatings Full coatings Full and patterned coatings Y X Spin coating Homogeneous thin films Lab scale Not available for long length samples Dip coating Industrial scalability Deposition in two sides of the substrate Solution stored in an opened container: o Solvent loss due to the evaporation that may destabilizes the solution o Changes in concentration and rheological properties: variation in film thickness Inkjet printing 7

8 Precursor solution deposition Why inkjet printing in functional oxide manufacturing? 8 Industrial scalability in a continuous reel to reel system Ink supply Cavity PZT Solution stored in a closed vial ink composition and rheological parameters control during deposition Y Nozzle X Possibility to control film thickness high repeatability of drop ejection mechanism Inkjet printing Possibility to deposit according to patterns: versatility to switch on the flight the deposited material and the pattern design for device manufacturing

9 9 Inkjet printing technology Numerous printing processes Continuous mode Drop on demand Thermal inkjet printing Electromagnetic valve Piezoelectric inkjet printing Broad gallery of printed materials Functional ceramic oxides from inks Dispersion of nanoparticles Printed configuration Continuous films Patterns

10 RFID Inkjet printing: applications Printed boards Biomedical applications Biochemical array LED displays Microlenses Sensors Films LSMO film PLC Antennas Photovoltaics 1 µm 10

11 Actors involved in inkjet printing 11 ink Ink properties influencing ink performances viscosity (0.5-15mPa. s ) Density Surface tension (20-30mN/m) Evaporation of solvent Stability substrate Interaction ink-substrate: wettability contact angle surface energy Drop volume Drop speed Drop aim ink properties nozzle diameter printhead Drop formation process Driving waveform

12 OXOLUTIA facilities related to inkjet printing Laboratory tests: Single nozzle piezoelectric printheads Manufacturing R+D: Multinozzle batch printer Manufacturing: Reel to reel continuous system Multinozzle piezoelectric printhead Single nozzle piezoelectric printhead (Ø nozzle : 60µm) 512 Konika-Minolta multinozzle piezohead 12

13 13 Inkjet printing technology Reel-to-Reel IJP setup: continuous deposition Batch deposition printer Reel to reel inkjet equipment R2R deposition system Screening of different tape speed and drop frequencies Optimization of the drying process Take-up reel Drying module XY batch printer Printing module Feeding reel R2R system

14 14 Potential applications: anti-counterfeiting INKJET PRINTING Low cost Scalability Broad range of printed materials Versatility in printed materials and configurations Anti-counterfeit printing applications with oxides?

15 15 Patterning by inkjet printing: magnetoresistive patterns Contactless analog encoder based on Wheatstone bridge LSMO 10mm 10mm Resistance of each branch is in the range of 10KΩ with <20% dispersion between branches Fe 3 O 4 magnetic nanorods La 0.7 Sr 0.3 MnO 3 20 µm 10 µm 1 µm

16 Patterning by inkjet printing: multifilamentary patterns Optical microscopy after pyrolysis Triple perovskite SEM after YBa 2 Cu 3 O 7-x (YBCO) growth 100 µm LAO YBCO LAO LAO K β 200 µm LAO LAO YBCO 50 µm 0,7 30 µm Thickness (µm) 0,6 0,5 0,4 0,3 0,2 0,1 300nm 150µm 0, Width (µm) 5 µm Thin Solid Films 548 (2013) Homogeneous epitaxial tracks are obtained 16

17 Nanoparticle deposition by inkjet printing Fluorescent nanoparticles: Eu, Yb based nanoparticles for anticounterfeiting applications 300 µm 300 µm 300 µm 300 µm 300 µm 300 µm 300 µm 300 µm 300 µm 300 µm 300 µm 300 µm 2 mm 2 mm 2 mm 2 mm Nanoscale 7 (2015)

18 Patterning by inkjet printing: images LAO ICMAB logo paper ICMAB logo by inkjet 10mm 2 mm 10mm After YBCO growth Journal of Imaging Science and Technology 55 (2011) Nanoscale 7 (2015)

19 Nanorelief patterns by CSD Cerium oxide nanoislands: tuning by growth conditions 0.25 M 0.01 M M Solutions concentration 30 min-8 h 1000 o C Ar-H 2 CGO/LAO, a model system Temperature 600 O C 800 O C 900 O C 0.5 µm 0.5 µm 0.5 µm M 1 h Ar-H 2 30 min 1 h 2 h Time M 900 o C Ar-H µm 0.5 μm 0.5 µm

20 Nanorelief patterns by CSD Manganite (La 0.7 Sr 0.3 MnO 3 ) nanowires La 0.7 Sr 0.3 MnO 3 nanowires La 0.7 Sr 0.3 MnO 3 nanowires LaAlO 3 single crystal substrate Chem Mater. 26 (2014) Adv. Funct. Mater. 20 (2010)

21 Microrelief patterns by CSD 21 Stress relaxation: film inhomogeneities as identity signs 100 µm Buckling effect: stress relaxation way during thermal decomposition

22 Layering by inkjet printing: functional oxide films R2R inkjet deposition 20 nm in a continuous mode OM after Ce 0.9 Zr 0.1 O 2 growth 500µm 500µm Sample length: 30cm Reflectometric pattern Reflectance CZO films give a particular reflectometric pattern which may be used as an anti-counterfeiting system Wavelength (nm) 22

23 23 Conclusions Combination of the inkjet printing technology with the Chemical Solution Deposition (CSD) methodology has been demonstrated. Long length functional oxides films and patterns can be manufactured with a tape speed of about 36 m/h and 30 mm wide. This technology opens a broad gallery for anti-counterfeiting applications. 0.5 µm 2 mm

24 Inkjet printing of oxide thin films and nanoparticles with potential use for anti-counterfeiting films and patterns Thank you for your attention!!!!

Chemical solution deposition of multiferroic La 0.7 Sr 0.3 MnO 3, BaTiO 3 thin films prepared by ink plotting

Chemical solution deposition of multiferroic La 0.7 Sr 0.3 MnO 3, BaTiO 3 thin films prepared by ink plotting Chemical solution deposition of multiferroic La 0.7 Sr 0.3 MnO 3, BaTiO 3 thin films prepared by ink plotting A. Kirchner 1, M. Arin 2, P. Lommens 2, X. Granados 3, S. Ricart 3, B. Holzapfel 1, I. Van

More information

Vertical nano-composite heteroepitaxial thin films with manganites and ferroelectrics. Yonghang Pei Physics Department

Vertical nano-composite heteroepitaxial thin films with manganites and ferroelectrics. Yonghang Pei Physics Department Vertical nano-composite heteroepitaxial thin films with manganites and ferroelectrics Yonghang Pei Physics Department Outline Introduction to MultiFerroics La 1 x Sr x MnO 3 Experiment Summary and Future

More information

Growth of functional oxide heterostructures from chemical solutions using advanced processing methodologies

Growth of functional oxide heterostructures from chemical solutions using advanced processing methodologies Growth of functional oxide heterostructures from chemical solutions using advanced processing methodologies Dissertation presented in candidacy for the degree of DOCTORATE OF PHILOSOPHY IN MATERIALS SCIENCE

More information

Manipulation and control of spatial ALD layers for flexible devices. Aimcal Memphis 2016; Edward Clerkx

Manipulation and control of spatial ALD layers for flexible devices. Aimcal Memphis 2016; Edward Clerkx Manipulation and control of spatial ALD layers for flexible devices Meyer Burger Netherlands Equipment manufacturer Functional inkjet printing Based in Eindhoven, the Netherlands Part of world-wide Meyer

More information

Organic Substrate - LCP

Organic Substrate - LCP Organic Substrate - LCP Why consider LCP as a substrate? Liquid Crystal Polymer (LCP) can be used as a high performance multilayer substrate Excellent electrical properties (ε r ~ 3.10 and tanδ=0.002)

More information

RARE EARTHS CHEMISTRY: SCIENTIFIC, TECHNOLOGICAL AND PRODUCTION ACTIVITIES AT THE DEPARTMENT OF INORGANIC CHEMISTRY, SOFIA UNIVERSITY

RARE EARTHS CHEMISTRY: SCIENTIFIC, TECHNOLOGICAL AND PRODUCTION ACTIVITIES AT THE DEPARTMENT OF INORGANIC CHEMISTRY, SOFIA UNIVERSITY Group of Rare and Rare Earth Elements, Est. 1984 RARE EARTHS CHEMISTRY: SCIENTIFIC, TECHNOLOGICAL AND PRODUCTION ACTIVITIES AT THE DEPARTMENT OF INORGANIC CHEMISTRY, SOFIA UNIVERSITY M. Milanova, D. Todorovsky,

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

Session 1A4a AC Transport, Impedance Spectra, Magnetoimpedance

Session 1A4a AC Transport, Impedance Spectra, Magnetoimpedance Session 1A4a AC Transport, Impedance Spectra, Magnetoimpedance Magneto-impedance of [Co 40Fe 40B 20/Cu] Multilayer Films S. U. Jen, T. Y. Chou, C. K. Lo,.................................................................

More information

State-of-the-art Flame Synthesis of Nanoparticles

State-of-the-art Flame Synthesis of Nanoparticles Verbrennung und chemisch reaktive Prozesse in der Energie- und Materialtechnik State-of-the-art Flame Synthesis of Nanoparticles Dr. Frank Ernst ernst@ptl.mavt.ethz.ch 200 nm Particle Technology Laboratory,

More information

Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films

Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films Journal of Applied Chemical Research, 9, 2, 73-79 (2015) Journal of Applied Chemical Research www.jacr.kiau.ac.ir Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films Abstract

More information

Change in stoichiometry

Change in stoichiometry Measurement of Gas Sensor Performance Gas sensing materials: 1. Sputtered ZnO film (150 nm (Massachusetts Institute of Technology) 2. Sputtered SnO 2 film (60 nm) (Fraunhofer Institute of Physical Measurement

More information

Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water.

Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water. Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water. Supplementary Figure S2 AFM measurement of typical LTMDs

More information

Preparation of PZT(53/47) thick films deposited by a dip-coating process

Preparation of PZT(53/47) thick films deposited by a dip-coating process Microelectronic Engineering 66 (003) 865 871 www.elsevier.com/ locate/ mee Preparation of PZT(53/47) thick s deposited by a dip-coating process * Xi-Yun He, Ai-Li Ding, Xin-Sen Zheng, Ping-Sun Qiu, Wei-Gen

More information

Pulsed laser deposition of NdNiO 3 thin films

Pulsed laser deposition of NdNiO 3 thin films Solid State Communications 136 (2005) 369 374 www.elsevier.com/locate/ssc Pulsed laser deposition of NdNiO 3 thin films Davinder Kaur a, *, J. Jesudasan b, P. Raychaudhuri b a Department of Physics, Indian

More information

Free standing Multilayer Thin Film of Cellulose Nanocrystals

Free standing Multilayer Thin Film of Cellulose Nanocrystals Free standing Multilayer Thin Film of Cellulose Nanocrystals Chaoyang Jiang Department of Chemistry The University of South Dakota Edmonton, June 25, 2009 Cellulose Nanocrystals Nanotechnology R&D Priority

More information

Nanodiamond-Polymer Composite Fibers and Coatings

Nanodiamond-Polymer Composite Fibers and Coatings Nanodiamond-Polymer Composite Fibers and Coatings Yury Gogotsi et al. A.J. Drexel Nanotechnology Institute and Department of Materials Science and Engineering Drexel University, Philadelphia, Pennsylvania

More information

From Vacuum to Atmosphere and back an in-house Process Chain for Different Products

From Vacuum to Atmosphere and back an in-house Process Chain for Different Products From Vacuum to Atmosphere and back an in-house Process Chain for Different Products Dr. Steffen Günther Vacuum coating low pressure 10-1 10-4 Pa (10-3 10-6 mbar) unhindered particle movement no unintended

More information

Application of Electronic Devices for Aerosol Deposition Methods

Application of Electronic Devices for Aerosol Deposition Methods Application of Electronic Devices for Aerosol Deposition Methods NAKADA Masafumi, KAWAKAMI Toshihiro, IWANAMI Mizuki, OHASHI Keishi Abstract Oxide materials have superior functional characteristics that

More information

Taimur Ahmed. Chalmers University of Technology, Sweden

Taimur Ahmed. Chalmers University of Technology, Sweden Taimur Ahmed Chalmers University of Technology, Sweden Background Ferromagnetics Ferroelectrics Multiferroics BiFeO 3 (BFO) Design & modeling of dielectric response Device fabrication Characterization

More information

Oerlikon PVD production solutions for piezoelectric materials

Oerlikon PVD production solutions for piezoelectric materials Oerlikon PVD production solutions for piezoelectric materials Workshop PiezoMEMS Aachen,18. /19.05.2010 M. Kratzer Oerlikon Systems R&D Oerlikon company and products Thin films used for SAW, BAW, MEMS,

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source GABLECH Imrich 1,*, SVATOŠ Vojtěch 1,, PRÁŠEK Jan 1,, HUBÁLEK Jaromír

More information

OPTIMISED CURING OF SILVER INK JET BASED PRINTED TRACES

OPTIMISED CURING OF SILVER INK JET BASED PRINTED TRACES Nice, Côte d Azur, France, 27-29 September 2006 OPTIMISED CURING OF SILVER INK JET BASED PRINTED TRACES Z. Radivojevic 1, K. Andersson 1, K. Hashizume 2, M. Heino 1, M. Mantysalo 3, P. Mansikkamaki 3,

More information

ZnO-based Transparent Conductive Oxide Thin Films

ZnO-based Transparent Conductive Oxide Thin Films IEEE EDS Mini-colloquium WIMNACT 32 ZnO-based Transparent Conductive Oxide Thin Films Weijie SONG Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, P. R. China

More information

VISION INNOVATE INSPIRE DELIVER

VISION INNOVATE INSPIRE DELIVER INSPIRE Vision About NovaCentrix Photonic Curing INNOVATE History Applications DELIVER Our Products SimPulse Conductive Inks NanoPowders VISION NovaCentrix partners with you to take ideas from inspiration

More information

SM Hussain Shah, Saira Riaz, Shahid Atiq and Shahzad Naseem *)

SM Hussain Shah, Saira Riaz, Shahid Atiq and Shahzad Naseem *) Structural and Dielectric Properties of Bi 1-x La x FeO 3 Thin Films SM Hussain Shah, Saira Riaz, Shahid Atiq and Shahzad Naseem *) Centre of Excellence in Solid State Physics, University of the Punjab,

More information

Screen-printed La 0.1 Sr 0.9 TiO 3-δ - Ce 1-x Gd x O 2-δ anodes for SOFC application

Screen-printed La 0.1 Sr 0.9 TiO 3-δ - Ce 1-x Gd x O 2-δ anodes for SOFC application Screen-printed La 0.1 Sr 0.9 TiO 3-δ - Ce 1-x Gd x O 2-δ anodes for SOFC application Elisa Mercadelli (1), A.Gondolini (1), G. Constantin (2,3), L. Dessemond (2,3), V. Yurkiv (4), R. Costa (4) and A. Sanson

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Experiences of PLD Technology for LIB Separators. PICODEON Oy. Neal White

Experiences of PLD Technology for LIB Separators. PICODEON Oy. Neal White Experiences of PLD Technology for LIB Separators PICODEON Oy Neal White 1 Outline Introduction to Picodeon Ceramic coating rationale Separator overview Why PLD for LIB separators Current status of Picodeon

More information

Deposition and characterization of sputtered ZnO films

Deposition and characterization of sputtered ZnO films Superlattices and Microstructures 42 (2007) 89 93 www.elsevier.com/locate/superlattices Deposition and characterization of sputtered ZnO films W.L. Dang, Y.Q. Fu, J.K. Luo, A.J. Flewitt, W.I. Milne Electrical

More information

Cubic CeO 2 Nanoparticles as Mirror-like Scattering Layer for Efficient Light Harvesting in Dye-Sensitized Solar Cells

Cubic CeO 2 Nanoparticles as Mirror-like Scattering Layer for Efficient Light Harvesting in Dye-Sensitized Solar Cells Supplementary Material (ESI for Chemical Communications This journal is (c The Royal Society of Chemistry 2011 Supplementary Material (ESI for Chemical Communications Cubic CeO 2 Nanoparticles as Mirror-like

More information

A novel aerosol based wet coating technology called nfog and its applications

A novel aerosol based wet coating technology called nfog and its applications A novel aerosol based wet coating technology called nfog and its applications Beneq launches nfog wet coating technology Beneq is pleased to announce the launch of its new atmospheric wet coating technology

More information

Growth of monocrystalline In 2 O 3 nanowires by seed orientation dependent vapour-solid-solid mechanism

Growth of monocrystalline In 2 O 3 nanowires by seed orientation dependent vapour-solid-solid mechanism Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information (ESI) Growth of monocrystalline In

More information

CREOL, The College of Optics & Photonics, University of Central Florida

CREOL, The College of Optics & Photonics, University of Central Florida Metal Substrate Induced Control of Ag Nanoparticle Plasmon Resonances for Tunable SERS Substrates Pieter G. Kik 1, Amitabh Ghoshal 1, Manuel Marquez 2 and Min Hu 1 1 CREOL, The College of Optics and Photonics,

More information

IBS/e Ion Beam Sputter Deposition and Etching System. IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source

IBS/e Ion Beam Sputter Deposition and Etching System. IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source IBS/e Ion Beam Sputter Deposition and Etching System IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source The Model IBS/e is a high vacuum thin film deposition system

More information

Aerosol Jet International User Group Meeting. Metallization and Functional Structures by Aerosol Jet deposition

Aerosol Jet International User Group Meeting. Metallization and Functional Structures by Aerosol Jet deposition 17.10.2012 1 2012 Aerosol Jet International User Group Meeting Metallization and Functional Structures by Aerosol Jet deposition Andreas Brose Institut für Mikro- und Sensorsysteme Lehrstuhl Mikrosystemtechnik

More information

Temperature-dependence of magnetic domains in phase-separated manganites

Temperature-dependence of magnetic domains in phase-separated manganites Temperature-dependence of magnetic domains in phase-separated manganites Holly Miller Department of Natural Sciences, Longwood University, Farmville, Virginia Amlan Biswas Department of Physics, University

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Dr. Arun Singh. Sponsored by DST. Year of start. Held. Principal Investigator. Principal Investigator BOYSCAST FELLOW

Dr. Arun Singh. Sponsored by DST. Year of start. Held. Principal Investigator. Principal Investigator BOYSCAST FELLOW Dr. Arun Singh Advanced Electronic and Nano-Materials Laboratory R.N.- 304 III Floor, Department of Physics Faculty of Natural Sciences Jamia Millia Islamia, Central University, New Delhi-110025 (INDIA).

More information

Magnetic pinning in hybrid YBa 2 Cu 3 O 7-x /ferromagnetic nano-dot structures obtained by di-block copolymer self-assembly method

Magnetic pinning in hybrid YBa 2 Cu 3 O 7-x /ferromagnetic nano-dot structures obtained by di-block copolymer self-assembly method Magnetic pinning in hybrid YBa 2 Cu 3 O 7-x /ferromagnetic nano-dot structures obtained by di-block copolymer self-assembly method Traian Petrişor Jr., Bianca Moş, Mihai Gabor, Mircea Năsui, Amalia Mesaroş,

More information

Strain-induced anomalous magnetoresistance effect in ultrathin manganite films and nanostructures

Strain-induced anomalous magnetoresistance effect in ultrathin manganite films and nanostructures Strain-induced anomalous magnetoresistance effect in ultrathin manganite films and nanostructures Qi Li Pennsylvania State University Department of Physics University Park, PA 16802 Collaborators: Y. F.

More information

Printed Electronics: Photonic Curing and Enabled Materials

Printed Electronics: Photonic Curing and Enabled Materials Printed Electronics: Photonic Curing and Enabled Materials Kurt Schroder, Dave Pope, Ian Rawson NovaCentrix 200-B Parker Dr Suite 580 Austin, TX 78728 Extended Abstract Introduction Photonic curing is

More information

Large-area patterning by roller-based nanoimprint lithography

Large-area patterning by roller-based nanoimprint lithography JOANNEUM RESEARCH Forschungsgesellschaft Institute MATERIALS, Weiz, Austria Large-area patterning by roller-based nanoimprint lithography Ursula Palfinger, Dieter Nees, Stephan Ruttloff, Markus Leitgeb,

More information

SUPERCONDUCTOR INDUSTRIALIZATION

SUPERCONDUCTOR INDUSTRIALIZATION 20 YEARS SUPERCONDUCTOR INDUSTRIALIZATION REALITY OR ROCKET SCIENCE? Dr. Werner Prusseit THEVA Dünnschichttechnik GmbH 20.4.2016 1 OVERVIEW THEVA at a glance Company THEVA GmbH, HQ in Ismaning, Germany,

More information

tion band derived electrons. Achieving high performance p-type oxide TFTswilldefinitelypromoteaneweraforelectronicsinrigidandflexible substrate away

tion band derived electrons. Achieving high performance p-type oxide TFTswilldefinitelypromoteaneweraforelectronicsinrigidandflexible substrate away Preface Thin film transistor (TFT) is a combination of thin films necessary to create the function of a transistor. It consists of a thin film of a semiconducting material which forms the conducting channel

More information

University of Wollongong. Research Online

University of Wollongong. Research Online University of Wollongong Research Online Faculty of Engineering - Papers (Archive) Faculty of Engineering and Information Sciences 2005 Microstructures and enhancement of critical current density in YBa/sub

More information

Fabrication and Characterization of Ferroelectric PZT and BaTiO 3 Thin Films on Releasable Electrode Structures

Fabrication and Characterization of Ferroelectric PZT and BaTiO 3 Thin Films on Releasable Electrode Structures Transactions of The Japan Institute of Electronics Packaging Vol. 5, No. 1, 2012 [Technical Paper] Fabrication and Characterization of Ferroelectric PZT and BaTiO 3 Thin Films on Releasable Electrode Structures

More information

Oxygen pressure effects on superconducting (YBaCuO) thin films

Oxygen pressure effects on superconducting (YBaCuO) thin films Oxygen pressure effects on superconducting (YBaCuO) thin films A. LARGETEAU 1,2, J. SIEJKA 3, J.C. MAGE 4, Y. LEMAITRE 4, B. MARCILHAC 4, C. CLERC 5, and G. DEMAZEAU 1,2 1 Institut de Chimie de la Matière

More information

nanolayers Thin films S1(b). interested like to note 3 film. Grazing

nanolayers Thin films S1(b). interested like to note 3 film. Grazing Supplementary Material to Reconstruction of the polar interface between hexagonal LuFeO 3 and intergrown Fe 3 O 4 nanolayers A.R. Akbashev, V.V. Roddatis, A.L. Vasiliev, S. Lopatin, V.A. Amelichev, and

More information

Verwey transition in Fe 3 O 4 thin films: Influence of oxygen stoichiometry and substrate-induced microstructure

Verwey transition in Fe 3 O 4 thin films: Influence of oxygen stoichiometry and substrate-induced microstructure Verwey transition in Fe 3 O 4 thin films: Influence of oxygen stoichiometry and substrate-induced microstructure Physical Review B 90, 125142 (2014) Xiaozhe Zhang 20150403 Verwey transition Charge ordering

More information

Effect of substrate heating on elimination of pinholes in sputtering deposited SiO2 films on LiNbO3 single crystal substrates

Effect of substrate heating on elimination of pinholes in sputtering deposited SiO2 films on LiNbO3 single crystal substrates Thin Solid Films 335 (1998) 117-121 Effect of substrate heating on elimination of pinholes in sputtering deposited SiO2 films on LiNbO3 single crystal substrates Hirotoshi Nagata a, *, Tetsuya Fujino b,

More information

Solar Cells and Photosensors.

Solar Cells and Photosensors. Designing Photonic Crystals in Strongly Absorbing Material for Applications in Solar Cells and Photosensors. Minda Wagenmaker 1, Ebuka S. Arinze 2, Botong Qiu 2, Susanna M. Thon 2 1 Mechanical Engineering

More information

Homogenizing and Applying Dielectric Film to Wafer-Level Film Preparation

Homogenizing and Applying Dielectric Film to Wafer-Level Film Preparation Transactions of The Japan Institute of Electronics Packaging Vol. 5, No. 1, 2012 [Technical Paper] Homogenizing and Applying Film to Wafer-Level Film Preparation Kazutaka Sueshige*, Keita Iimura*, Masaaki

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Environmental Friendly Potassium Sodium Niobate Based Thin Films from Solutions

Environmental Friendly Potassium Sodium Niobate Based Thin Films from Solutions Environmental Friendly Potassium Sodium Niobate Based Thin Films from Solutions Alja Kupec 1,2,3 Barbara Malič 1,3,4,5 and Marija Kosec 1,2,3 1 Electronic Ceramics Department, Jožef Stefan Institute, Ljubljana,

More information

PROJECT PERIODIC REPORT

PROJECT PERIODIC REPORT PROJECT PERIODIC REPORT Grant Agreement number: 619456 Project acronym: SITOGA Project title: Silicon CMOS compatible transition metal oxide technology for boosting highly integrated photonic devices with

More information

Nano Ag Conductive Ink

Nano Ag Conductive Ink Nano Ag Conductive Ink The practice of using an inkjet printer or roll-to-roll wet coating equipment to apply Ag conductive ink to substrates before drying and low-temperature sintering the substrates

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD Lucie Špirková a Vlastimil Brožek a Jean Durand b a) Institute of Chemical Technology, 166 28 Prague, Czech Republic b) Laboratoire des Matériaux

More information

Monte Carlo approach to island formation during thermal treatment of thin films*

Monte Carlo approach to island formation during thermal treatment of thin films* Monte Carlo approach to island formation during thermal treatment of thin films* Ann Marie Hardin December 6, 2006 *F. Lallet, R. Bachelet, A. Dauger, and N. Olivi-Tran, (2006) Physical Review B, 74 Outline

More information

A Review of Coated Conductor Development

A Review of Coated Conductor Development A Review of Coated Conductor Development Yongli Xu 1,2 and Donglu Shi 1 1 Dept. of Chemical and Materials Engineering, University of Cincinnati 2 Metals & Ceramics Division, Oak Ridge National Laboratory

More information

Characterisation of Fe-Ni amorphous thin films for possible magnetostrictive sensor applications

Characterisation of Fe-Ni amorphous thin films for possible magnetostrictive sensor applications Characterisation of Fe-Ni amorphous thin films for possible magnetostrictive sensor applications Contents 9.1 Introduction 9.2 Experiment 9.3 Results and Discussions 9.4 Conclusion 9.1 Introduction Magnetostrictive

More information

GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE

GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE Chalcogenide Letters Vol. 6, No. 8, September 29, p. 415 419 GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE V. B. SANAP *, B. H. PAWAR, * MSS s College

More information

STRUCTURAL AND OPTOELECTRONIC PROPERTIES OF PYRALYTICALLY SPRAYED CdZnS THIN FILMS

STRUCTURAL AND OPTOELECTRONIC PROPERTIES OF PYRALYTICALLY SPRAYED CdZnS THIN FILMS Chalcogenide Letters Vol. 9, No. 12, December 2012, p. 495-500 STRUCTURAL AND OPTOELECTRONIC PROPERTIES OF PYRALYTICALLY SPRAYED CdZnS THIN FILMS S. RAJATHI *, N. SANKARASUBRAMANIAN *, K. RAMANATHAN, M.

More information

Hafnium(IV) Oxide Patinal

Hafnium(IV) Oxide Patinal Product Information Hafnium(IV) Oxide Patinal GENERAL INFORMATION Hafnium oxide is used for thin films with high refractive index in AR coatings, filters, mirrors, and beam splitters for the spectral region

More information

Laser Micromachining of Coated YBa 2 Cu 3 O 6+x Superconducting Thin Films

Laser Micromachining of Coated YBa 2 Cu 3 O 6+x Superconducting Thin Films Laser Micromachining of Coated YBa 2 Cu 3 O 6+x Superconducting Thin Films Principle Author: Kenneth E. Hix, Mound Laser & Photonics Center, Inc., P.O. Box 223, Miamisburg, OH 45343, 937-865-3041, 937-865-3680

More information

IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 23, NO. 3, JUNE

IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 23, NO. 3, JUNE IEEE TRANSACTIONS ON APPLIED SUPERCONDUCTIVITY, VOL. 23, NO. 3, JUNE 2013 6603105 Growth Mechanism During Firing Process of Single-Coated Thick YBCO Films by TFA-MOD Takeshi Araki, Mariko Hayashi, and

More information

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays Hamid Alouach and G. J. Mankey Introduction Objective: Approach: Characterization: Fabrication of nanoscale magnetic wires for spin transport

More information

Hybrid high refractive index polymer coatings

Hybrid high refractive index polymer coatings Hybrid high refractive index polymer coatings Yubao Wang, Tony Flaim, Ramil Mercado, Shelly Fowler, Doug Holmes, and Curtis Planje Brewer Science, Inc., 2401 Brewer Dr., Rolla, M 65401 ABSTRACT Thermally

More information

Aligned Carbon Nanofibre-Polymer Composite Membranes. CNT Growth and Manipulation. Eleanor Campbell Dept. of Physics, Göteborg University

Aligned Carbon Nanofibre-Polymer Composite Membranes. CNT Growth and Manipulation. Eleanor Campbell Dept. of Physics, Göteborg University Aligned Carbon Nanofibre-Polymer Composite Membranes CNT Growth and Manipulation Eleanor Campbell Dept. of Physics, Göteborg University Plasma CVD Growth Polymer/Nanofibre Composite Low ambient temperature

More information

Optical parameter determination of ZrO 2 thin films prepared by sol gel dip coating

Optical parameter determination of ZrO 2 thin films prepared by sol gel dip coating International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN : 0974-490 Vol.6, No.13, pp 534-5346, November 014 MESCon 014 [4th -5 th September 014] National Conference on Material for Energy Storage

More information

Development of Piezoelectric Nanocomposites for Energy Harvesting and Self-Sensing

Development of Piezoelectric Nanocomposites for Energy Harvesting and Self-Sensing Development of Piezoelectric Nanocomposites for Energy Harvesting and Self- Kenneth J. Loh Assistant Professor Department of Civil & Environmental Engineering University of California, Davis The Applied

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Nanoscale Materials Inspires Innovation and Drives Economic Development

Nanoscale Materials Inspires Innovation and Drives Economic Development Nanoscale Materials Inspires Innovation and Drives Economic Development 5nm Greg Salamo & Alex Biris InAs Quantum Dots What is Nanoscience? The effort to understand and design structures at the nano size

More information

arxiv:cond-mat/ v1 [cond-mat.mtrl-sci] 30 Sep 1998 J. Z. Sun and D. W. Abraham

arxiv:cond-mat/ v1 [cond-mat.mtrl-sci] 30 Sep 1998 J. Z. Sun and D. W. Abraham Thickness dependent magnetotransport in ultra-thin manganite films arxiv:cond-mat/9809414v1 [cond-mat.mtrl-sci] 30 Sep 1998 J. Z. Sun and D. W. Abraham IBM T. J. Watson Research Center, P. O. Box 218,

More information

In Situ Observation of Dislocation Nucleation and Escape in a Submicron Al Single Crystal

In Situ Observation of Dislocation Nucleation and Escape in a Submicron Al Single Crystal Supplementary Information for In Situ Observation of Dislocation Nucleation and Escape in a Submicron Al Single Crystal Sang Ho Oh*, Marc Legros, Daniel Kiener and Gerhard Dehm *To whom correspondence

More information

Supporting Information. Far-UV Annealed Inkjet-Printed In 2 O 3. Semiconductor Layers for Thin-Film Transistors on

Supporting Information. Far-UV Annealed Inkjet-Printed In 2 O 3. Semiconductor Layers for Thin-Film Transistors on Supporting Information Far-UV Annealed Inkjet-Printed In 2 O 3 Semiconductor Layers for Thin-Film Transistors on a Flexible Polyethylene Naphthalate Substrate Jaakko Leppäniemi*, Kim Eiroma, Himadri Majumdar

More information

Hafnium(IV) Oxide Patinal

Hafnium(IV) Oxide Patinal Product Information Hafnium(IV) Oxide Patinal GENERAL INFORMATION Hafnium oxide is used for thin films with high refractive index in AR coatings, filters, mirrors, and beam splitters for the spectral region

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Sr and Pb additions. L. Affleck, C. Leach *

Sr and Pb additions. L. Affleck, C. Leach * Microstructures of BaTiO 3 based PTC thermistors with Ca, Sr and Pb additions Abstract L. Affleck, C. Leach * Manchester Materials Science Centre University of Manchester and UMIST Grosvenor Street, Manchester

More information

Roll-to-roll ALD prototype for 500 mm wide webs

Roll-to-roll ALD prototype for 500 mm wide webs Roll-to-roll ALD prototype for 500 mm wide webs Tapani Alasaarela, Mikko Söderlund, Pekka Soininen Beneq Oy, Ensimmäinen Savu, 01510 Vantaa, Finland Roll-to-roll (R2R) atomic layer deposition (ALD) technology

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Platypus Gold Coated Substrates. Bringing Science to the Surface

Platypus Gold Coated Substrates. Bringing Science to the Surface Platypus Gold Coated Substrates Bringing Science to the Surface Overview Gold Coated Substrates - Gold Coating Introduction - Glossary of Terms - Gold Coating Methods - Critical Features Platypus Gold

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 10, No. 4, pp. 536~540 (009) J O U R N A L O F Ceramic Processing Research Electrical and optical properties of MgO films deposited on soda lime glass by a

More information

Research Article A Surface Acoustic Wave Ethanol Sensor with Zinc Oxide Nanorods

Research Article A Surface Acoustic Wave Ethanol Sensor with Zinc Oxide Nanorods Smart Materials Research Volume 2012, Article ID 210748, 4 pages doi:10.1155/2012/210748 Research Article A Surface Acoustic Wave Ethanol Sensor with Zinc Oxide Nanorods TimothyJ.Giffney,Y.H.Ng,andK.C.Aw

More information

Inkjet Printing of Silver for Direct Write Applications

Inkjet Printing of Silver for Direct Write Applications Inkjet Printing of Silver for Direct Write Applications A thesis submitted to the University of Manchester for the degree of Doctor of Philosophy in the Faculty of Engineering and Physical Sciences 2010

More information

Carbon Nanotube Solutions for Packaging and Wireless Sensors

Carbon Nanotube Solutions for Packaging and Wireless Sensors Carbon Nanotube Solutions for Packaging and Wireless Sensors Jim Lamb*, Liyong Diao, Christopher Landorf Jordan Valley Innovation Center (JVIC) Springfield, Missouri, USA *jlamb@brewerscience.com APEC

More information

Influence of Thermal Annealing on the Structural and Optical Properties of Lead Oxide Thin Films Prepared by Chemical Bath Deposition Technique

Influence of Thermal Annealing on the Structural and Optical Properties of Lead Oxide Thin Films Prepared by Chemical Bath Deposition Technique Influence of Thermal Annealing on the Structural and Optical Properties of Lead Oxide Thin Films Prepared by Chemical Bath Deposition Technique D.D.O. Eya, Ph.D. Department of Physics, Federal University

More information

Simultaneous glucose sensing and bio-hydrogen evolution from direct photoelectrocatalytic glucose oxidation on robust Cu 2 O-TiO 2 electrodes

Simultaneous glucose sensing and bio-hydrogen evolution from direct photoelectrocatalytic glucose oxidation on robust Cu 2 O-TiO 2 electrodes Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2014 Supporting information Simultaneous glucose sensing and bio-hydrogen evolution

More information

Photovoltaics & Solar Thermals. Thin-film equipment. Customized. FHR Anlagenbau GmbH I

Photovoltaics & Solar Thermals. Thin-film equipment. Customized. FHR Anlagenbau GmbH I Photovoltaics & Solar Thermals Thin-film equipment. Customized. FHR Anlagenbau GmbH I www.fhr.de FHR Anlagenbau GmbH is an innovative enterprise in the branch of vacuum processing and thin-film technologies.

More information

Developments in Printed Electronics

Developments in Printed Electronics Developments in Printed Electronics Processing - Photonic Curing Materials - Copper Oxide Reduction Inks Simulation - Numerical Thermal Processing Stan Farnsworth VP Marketing Oct 2011 NovaCentrix in the

More information

Fabrication of CaO Insulator Coatings by MOCVD for Application in Fusion Reactor Blankets*

Fabrication of CaO Insulator Coatings by MOCVD for Application in Fusion Reactor Blankets* Fabrication of CaO Insulator Coatings by MOCVD for Application in Fusion Reactor Blankets* Z. Zeng and K. Natesan Energy Technology Division Argonne National Laboratory Argonne, IL 6439 November 21 Distribution

More information

Supporting Information: Gold nanorod plasmonic upconversion microlaser

Supporting Information: Gold nanorod plasmonic upconversion microlaser Supporting Information: Gold nanorod plasmonic upconversion microlaser 1 Materials Synthesis and Properties Ce Shi, Soheil Soltani, Andrea M. Armani 1.1 Nanorod synthesis First the gold nanorods (NRs)

More information

133/219 Projects list Spring 2009

133/219 Projects list Spring 2009 133/219 Projects list Spring 2009 Papers' Databases: prola.aps.org APS Physics Review online archive. For Condensed Matter select Phys. Rev. Lett., Phys. Rev., Phys. Rev. B, Rev. Mod. Phys.. xxx.lanl.gov/archive/cond-mat

More information

Al- & Al-Ca-doped ZnO Thin Films by Sol-Gel Method for Solar Cell Application

Al- & Al-Ca-doped ZnO Thin Films by Sol-Gel Method for Solar Cell Application IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Al- & Al-Ca-doped ZnO Thin Films by Sol-Gel Method for Solar Cell Application To cite this article: S. Bhowmik et al 2018 IOP

More information

Synthesis of Nanostructures by Electrochemical Processing

Synthesis of Nanostructures by Electrochemical Processing Synthesis of Nanostructures by Electrochemical Processing Giovanni Zangari, Robert M. Metzger, Bill Butler University of Alabama at Tuscaloosa The work presented was partly sponsored through DOD grant

More information

CHAPTER 8 CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK

CHAPTER 8 CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK CHAPTER 8 CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK 8.1. Conclusions Referring to the aims of the research project in Chapter 4, the following conclusions can be drawn on the basis of the present work:

More information

MATERIALS. Silicon Wafers... J 04 J 01. MATERIALS / Inorganics & thin films guide

MATERIALS. Silicon Wafers... J 04 J 01. MATERIALS / Inorganics & thin films guide J MATERIALS SUBSTRATES Silicon Wafers... J 04 J J 01 MATERIALS SUBSTRATES NEYCO has a complete range of crystal substrates for a wide variety of applications, including Semiconductor, Biotechnology, Nanotechnology,

More information