Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans

Size: px
Start display at page:

Download "Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans"

Transcription

1 Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans Anthony Rardin and Simon Kirk 1 Dr. Mel Zussman 2 1 DuPont Wafer Level Packaging Solutions, 2520 Barrington Ct. Hayward CA HD MicroSystems, Barley Mill Plaza #30, Wilmington, DE Contact: Anthony.B.Rardin@usa.dupont.com phone: Abstract This paper will examine the use of high temperature Polyimide temporary adhesives and advanced copper cleaning solutions for thin wafer handling during metal to metal (- ) bonding. In the case of to solid state diffusion bonding, temperature requirements can be up to 400C for as long as 40 minutes. These extreme conditions pose a significant challenge with existing materials used for temporary bonding. Polyimides are ideally suited for high temperature applications and polyimide adhesives have been developed which can withstand 400 C processing without significant failure in adhesion. As well, to bonding processes will require the need for contamination free surfaces, which becomes increasingly important if lower temperature processes (<400 o C) are desired. Close-coupled copper cleaning immediately prior to the bonding step offers an excellent approach for providing process reproducibility while achieving increased electrical yields. Utilizing advanced wet cleaning solutions to obtain optimal surface preparation by removing undesirable copper oxide and Benzotriazole (BTA) layers, and potentially adding a copper oxidation barrier (COB) functionality will be discussed in detail. Introduction As the number of thinned wafers being processed increases,, the challenge of handling these wafers without breaking them becomes a real issue. This paper will present an approach that enables the handling of thin wafers by using high temperature polyimides and to bonding avoiding handling the thin wafer altogether. Essentially the thin wafer is always supported by either the carrier or the device wafer it will ultimately be bonded to. Figure 1 shows the use of a high temperature polyimide adhesive used to temporarily bond the wafer to a carrier wafer. The wafer is thinned while being supported by the carrier wafer. Prior to bonding the wafer to the other device wafer a close-coupled clean of any residual BTA or oxide is essential for void free bonding. The wafer, still bonded to the carrier, is then flipped for the to bond avoiding the need to handle the thin wafer without any support. Improved Temporary Bonding Scheme Metal 2 Metal bonding used to avoid thin wafers HD3007 Coat / Prebake HD3007 re Thermo compression Back grinding polish & PCMP Clean CoppeReady & EKC4000 Thermo compression to Sub. EKC Closecoupled cleaning Laser Ablation/Solvent Release Detach glass HD3007 Adhesive Removal Figure 1 Solvent Release & Adhesive Removal EKC865 Adhesive Remover Thermal Stability of Polyimide Adhesive rrently available temporary adhesives can not withstand the 400 o C needed for to bonding, however, polyimide (PI) adhesives can meet these criteria. The graph in Figure 2 shows the TGA weight loss of HD3007 PI adhesive vs. temperature. HD-3007, from Hitachi Chemical DuPont MicroSystems (HDMS), is a spin applied formulation which cures to a thermoplastic polyimide film. TGA Weight Loss HD3007, 10 C/min Figure 2

2 These data show that the PI adhesive does not have significant weight loss until beyond 500 o C. Further more no delamination was observed at temperatures of 500 o C and below. Delamination was initiated at 510 o C and severe delamination at 5 o C. At these temperatures micrograph results shown below in Figure 3 suggest the PI will delaminate from the glass carrier and remain on the device wafer. Micrographs of delamination after thermal exposure. View through glass plate. From wafer fab last CMP / PCMP process. Assume wafers will have remaining Ox as well as BTA/organic residues Integrated Cleaning Stand-alone Cleaning Integrated Cleaning - - Wafer Bonding Cluster Tool) Pre-Bonding Clean Pre-Bonding Clean Wafer Alignment Wafer Alignment - Wafer Bonding - Wafer Bonding - Wafer Bonding Module SUSS-MicroTec XBC300 Wafer Bonder Cluster Tool with Integrated Cleaner CL300 Cleaning Module SUSS-MicroTec XBC300 Wafer Bonder Figure 4 Figure 3 Surface Cleaning and Preparation Prior to Bonding Key Cleaning Needs The need for contamination-free Copper surfaces prior to - wafer bonding is critical and becomes increasingly important if lower temperature bonding processes (<400 o C) are desired. Two key requirements for a clean copper surface include 1) complete removal of undesirable copper oxide layers, and 2) complete removal of organic contaminants and inhibitors from previous steps (e.g. Benzotriazole (BTA)). Additionally, it may be advantageous to protect the copper surface with a thin copper oxidation barrier (COB) that can be easily applied and easily removed prior to bonding. This would protect the clean, more reactive surface and prevent further environmental contamination from attaching to the surface, especially in processes where there may be an extended queue time between cleaning and bonding. Integration Approach The most effective approach for cleaning would be to implement a clean immediately prior to the actual - wafer bonding step, as can be seen in Figure 4. Leading edge bonding tools will have clustered modules which include a pre-bonding clean station. Close-coupling the clean with the bonding step through this clustered arrangement allows for better control of the copper surface prior to bonding. In the case of our studies, a XBC300 wafer bonder was used which has a dedicated cleaning module, CL300. However, not all bonding equipment will have integrated cleaning modules, and it is important to ensure that the cleaning chemistries and process will also be effective in stand-alone cleaning tools as well. Various pre-bonding cleaning solutions were compared for their ability to 1) remove copper oxides, 2) remove BTA films, 3) remove a combination of BTA in the presence of thin copper oxides, and 4) add copper oxidation barrier (COB) functionality to prevent oxide (re)growth. Benzotriazole (BTA) Removal Benzotriazole (BTA) is commonly used to protect surfaces in wafer fab CMP processes. It can be found in the polishing slurries to prevent copper corrosion and static etching during the CMP process. It is also added to interstation rinse steps in the CMP tool to ensure copper protection as the wafers are processed. In wafer fab processing, the BTA needs to be removed prior to subsequent liner deposition steps as part of the copper metallization process. One of the goals of the PCMP cleaning step is to remove any residual BTA left on the wafer surface. However, not all commercially available PCMP cleaners can completely remove the BTA film. In this case, the remaining BTA must be removed during a pre-clean in the liner deposition step. However, at the last CMP step, there is no subsequent liner clean/deposition needed, and so the wafers would leave the fab with residual BTA on the surfaces. On the other hand, if the PCMP clean step completely removes the BTA film, the copper is very reactive towards the environment and can grow copper oxide rapidly. Therefore the result would be wafers leaving the wafer fab with significant oxide growth. To solve this, DuPont/EKC Technology offers cleaning solutions that can completely remove the BTA and oxide, and then immediately protect the copper using a COB. This approach can be seen in Figure 5. Removal of BTA through Cleaning -BTA Incoming Optimized Cleaning Complete BTA removal Incomplete Cleaning Incomplete BTA removal Complete BTA removal Copper Oxidation Barrier (COB) Possible Downstream Issues Ox (re)growth Process Benefits No loss / etching No Ox (re)growth Localized etching Organic contamination Bonding issues Electrical / yield loss loss Bonding issues Electrical / yield loss COB easily removed by thermal desorption Enhanced bonding Improved electrical / yield performance Figure 5

3 A common way to measure BTA removal from a surface is through contact angle measurements using DI water. Pristine copper typically has a low contact angle in the range of o and is hydrophilic. When BTA is added to a cleaned copper surface, the surface becomes hydrophobic and the contact angle increases significantly to > o. Figure 6 shows this effect on contact angle measurements. The contact angle measurements correlate quite well with surface analysis measurements using Fourier-Transform Infrared Reflection Absorption Spectroscopy (FT-IR-RAS). In this case, cleaned wafer pieces were contaminated with a BTA solution ( %) and then cleaned using different solutions. The surface was then measured using FT-IR- RAS and contact angle. The analysis showed good correlation between FT-IR-RAS peak intensities at 750 cm -1 (aromatic C-H of the BTA) and contact angle measurements. Test for BTA Removal Performance Hydrophilic Surface low contact angle Contact Angle DIW contact angle on [deg] DI Water DIW contact angle [w/o BTA] Hydrophobic Surface high contact angle Figure 6 Contact Angle -BTA DI Water Organic residue [ ex -BTA] The data can be seen in Figure 7. This allows us to use contact angle for screening of cleaning chemistries, which is a quicker and less costly method than sending samples to 3 d party labs for FT-IR-RAS analysis. Copper Oxidation Barriers (COB) Copper oxidation barriers (COB) allow protection of the cleaned copper surface from environmental contamination including copper oxide growth. The previous Figure 5 shows that in a typical cleaning process when BTA is completely removed from the surface the bare copper can quickly grow undesirable oxides. The optimized cleaning process flow illustrates how DuPont/EKC Technology cleaners solve the technical need of protecting the cleaned copper surface with a COB. The COB forms a thin organic layer that prevents the formation of copper oxide. Typically, the layer is deposited on an oxide free copper surface from the cleaning solution. Surface analysis using XPS/ESCA, IR, and ToF- SIMS data confirm the presence of a thin organic layer. XPS/ESCA data allows assignment of the metal oxidation states and thickness of layer. IR data confirms the presence of the COB on the copper surface and give structural information on the surface complex. ToF-SIMS data confirm presence of COB and give an indication of the stability of the film. Cyclic voltammetry and linear sweep voltammetry are used to characterize the oxidation of the copper surfaces treated with COB and exposed to ambient atmosphere. The graph in Figure 8 shows linear sweep voltammetry measurements on a copper surface comparing cleaning chemistries with and without COB. As can be seen in the graph, the non-cob containing sample has multiple oxide peaks when measured after 18hrs exposure to an ambient environment. In comparison, the COB containing sample shows no evidence of oxide peaks when measured after 68hrs exposure to the same ambient environment. Comparison of COB Treated and Untreated Surfaces with Exposure to Ambient Atmosphere COB treated surface after 68 hours exposure No Copper Oxide formed Untreated sample showing Copper oxides from 18 hours exposure BTA Removal and Contact Angle Correlation Surface sensitive FT-IR (RAS) of BTA treated wafer Aromatic C-H of BTA Figure 7 Conditions BTA only Chemical A (Partial BTA Removal) Chemical B (Complete BTA Removal) Good correlation between peak intensities (750 cm -1 ) and contact angles was observed Contact Angle Figure 8 While having COB functionality is important in a cleaning formulation, it is also very important that the COB layer can be easily removed from the surface without any special processing that would decrease process throughput. Figure 9 shows the use of X-ray Photoelectron Spectroscopy (XPS) / Electron Spectroscopy for Chemical Analysis (ESCA) analysis to compare a pristine surface that has been sputtered clean using Argon, and a COB treated surface that has subsequently had the COB layer removed by thermal desorption.

4 Process Flow Removal of Copper Oxidation Barrier (COB) from Surface surface cleaned in EKC copper clean ing chemistry containing COB Sample allowed to sit in ambient environment for 24hrs. Sample put in XPS and heated for 2-3 mins at 200 o C to remove COB surface analyzed for COB removal Sample is compared to a clean surface that was Argon sputtered Normalized Intensity Normalized 2p3/2 spectra Brief post treatment yields (0) surface similar to pristine Ar sputtered film with COB barrier removed after 24hr Ar Sputter cleaned film Cleaning Performance DuPont/EKC Technology cleaning solutions showing excellent cleaning performance for BTA and oxide removal resulting in very low surface contact angle results can be seen in Figure 11. The Group A cleaning formulations seen in the far left side of the graph provide contact angle measurements in the o range. These cleaning formulations were all processed at room temperature with a chemical exposure time of seconds. BTA and Oxide Cleaning Performance Figure Binding Energy (ev) Group A PCMP cleaners exhibit excellent BTA and Ox removal resulting in low contact angle The data show that the two curves are virtually identical, indicating that a heating process of 2-3 minutes at 200 o C successfully removed the COB layer from the surface. These process conditions would be easily achieved in a wafer bonding chamber. As was the case for measuring BTA removal on a surface, it can also be very time consuming and expensive to perform large numbers of screening tests to evaluate COB functionality on a copper surface. We have found that a good proxy test to simulate COB functionality is through accelerated DI water rinsing. In this testing, first the surfaces are pre-cleaned to remove any residual BTA/organics and oxides; second the cleaned copper surfaces are immediately immersed into the different cleaning solutions; third the surfaces are then rinsed in DI water from anywhere between 5 30 minutes; finally the pieces are dried and then inspected in a Scanning Electron Microscope (SEM) for copper oxide formation. The SEM images can then be quantified through contrast comparisons (copper oxide formations are a very bright white in the SEM vs. copper which is darker) for efficiency. Figure 10 outlines this process, which allows for faster screening of large numbers of potential cleaning formulations. COB Functionality Screening Using Accelerated Conditions Wafer : blanket [ Polished with barrier CMP] Flow i. Pre- Treatment : Remove Ox and -BTA to prepare pristine. ii. Cleaning Chemistry : Dipped in cleaning solution then rinsed DIW. iii. SEM inspection : Top down view iv. Measurement : Calculate Ox area (through contrast) Group A Group C Group D Figure 11 Evaluation of potential cleaning formulations for COB functionality was performed using the accelerated method previously referred to in Figure 10. Once again the cleaning conditions were kept constant at room temperature and seconds chemical exposure time. The results can be seen in Figure 12, and clearly show that the COB-containing cleaning formulation prevented oxide growth during the accelerated DI testing while the cleaning formulation without the COB had significant copper oxide growth on the copper surface. Copper Oxidation Barrier (COB) Performance Wafer : blanket Process: 1) Preclean to remove Ox 1min DIW 30sec N2 Dry 2) Dip in cleaner 1min DIW 30sec 3) Use extended DIW rinsing 5min to promote Ox growth SEM check -BTA COB COB Pre 1. Pre- Treatment 2. PCMP Clean + DIW dip 3. SEM inspection Method allows rapid evaluation of COB functionality on surfaces % of White Area = ~ % Clean Chemistry with COB Ox regrowth on unprotected surface Clean Chemistry without COB Figure Measurement Figure 12

5 Further work was carried out jointly with SUSS MicroTec on their XBC300 wafer bonder and CL300 cleaning module. Prior to any bonding tests, DuPont/EKC Technology cleaning chemistries were sent to SUSS and screened for efficiency in removing thin and thick copper oxide films, and BTA films on both bare and thin copper oxide. SUSS also compared various other chemistries including their existing process of record (PoR). Contact angle testing was used for this study. All cleaning solutions were run at room temperature for 30 seconds in a static beaker environment and contact angle measurements were taken immediately after the clean step. The electroplated wafers used for this testing simulated the type of oxide that is typical of wafers that would have received depositions a few days to weeks prior to the bonding. The results of the oxide removal tests can be seen in Figure 13. the contact angle, indicating the BTA and/or oxide films were still remaining on the surface. Pre-Bonding Cleaning Chemistry Performance: BTA Removal BTA was coated on the surfaces by dipping the samples in a 0.01M BTA solution and rinsing BTA creates a nearly perfect phobic surface with a contact angle of degrees. This prevents other species in the environment from attaching to the surface. BTA is less effective on an oxide surface vs. bare, but is good for simulating BTA and Oxthat can be present on incoming surfaces Contact Angle (deg) Removal of BTA on Oxide from Surfaces with Different Clean Treatments Chemical Conditions = Room Temperature (Puddle) Pre-Bonding Cleaning Chemistry Performance: Copper Oxide Removal This type of oxide is typical of wafers that had depositionsa few days to weeks prior to bonding Note that oxide layers do not self limit. The oxidation continues over time and thickens in response to time, temperature and humidity. Contact Angle (Deg) Removal of Oxide from Surfaces with Different Clean Treatments Chemical Conditions = Room Temperature (Puddle) Native Ox Citric Acid EKC4000 Chem 1 Chem 2 Chem 3 Figure 13 The data shows that the untreated oxide has a contact angle of approximately o. Several chemistries appear to be successful at removing the oxide as evidenced by the contact angle reduction to the o range. Citric acid provided the lowest contact angle, with EKC4000 TM and several other chemistries achieving a lower contact angle. BTA removal was also tested on both bare and thin oxide films. While BTA is less effective on oxide surfaces compared to bare, it is still useful for simulating BTA and Oxide that can both be present on incoming surfaces due ineffective PCMP cleaning. The BTA was coated on the surfaces by dipping the samples in a 0.01M BTA solution and rinsing, and the cleaning process was identical to the one used for the oxide removal tests. Figure 14 shows the contact angle as a function of different cleaning chemistries. The contact angle of untreated BTA on oxide is around o. The data indicates that only EKC4000 was able to clean the BTA and oxide contamination from the surface, resulting in a very low contact angle of 9 o. The other cleaning chemicals tested either showed no improvement or increased BTA on Ox Citric Acid EKC4000 Chem 1 Chem 2 Figure 14 Once the screening tests for BTA and oxide removal were completed, the most promising candidates were chosen for the wafer bonding tests. Several candidates from DuPont/EKC Technology including EKC4000 TM, EKC5500 TM, and EKC520 TM were investigated. Bonding was performed on blanket wafers made up of 200Å Ti /1KÅ PVD /3KÅ Electroplated. Scanning Acoustic Microscopy (SAM) was used to evaluate the effectiveness of bonding. A scanning acoustic microscope (SAM) uses focused sound to investigate, measure, or image an object and is commonly used in non-destructive failure analysis and useful in detecting voids, cracks, and delaminations within microelectronic packages. Multiple splits were run varying different clean and bonding process parameters. The main cleaning splits had two different process conditions. The first split was an aggressive condition in which the copper wafers were left exposed in a high temperature (80 o F) and humidity open environment for 48hrs to enhance oxide growth; the bonding time was shortened; and no forming gas was used during bonding. The SAM results for the first split can be seen in Figure 15. The SAM images showed quite a large area where voids were located (shown as white areas on the blue background) on the wafer with no wet cleaning treatment. Improvement was seen when EKC4000 was used as a pre-cleaning chemistry and the EKC5500 sample exhibited significant reduction in voids.

6 Scanning Acoustic Microscopy Results after - Wafer Bonding (1 of 2) Aggressive Split Conditions Enhanced oxide growth, shortened bonding time Wafers: 200A Ti /1KA PVD /3KA Electroplated Blanket Wafers Wafers left exposed in high humidity, high temperature (80 o F) open environment for 48hrs to enhance oxide growth Bonding Conditions: Standard temperature and bonding force; Shortened bonding time Cleaning Conditions: Room Temperature, 30 seconds puddle process (no spray / mechanical agitation) Path Forward The cleaning data seen so far is very promising. Ongoing work is underway to further evaluate the efficiency of the cleaning steps on bonding integrity. This includes: Review SEM /and Transmission Electron Microscopy (TEM) data of the - bond interface on bonded samples using different cleaning chemistries (wafers from split # 1 were sent out for analysis) No Chemical Pretreatment No Forming Gas EKC4000 TM No Forming Gas Figure 15 EKC5500 TM No Forming Gas The second split simulated more standard conditions in which the copper oxide growth was not enhanced; the bonding time was not shortened; and forming gas was used during the bonding. Figure 16 shows the SAM results from the second split. The results show that when using the standard Note - Wafers from this split are currently being processed by SEM / TEM for analysis of the - bond interface Scanning Acoustic Microscopy Results after - Wafer Bonding (2 of 2) Standard Split Conditions no accelerated oxide growth, standard bonding time Wafers: 200A Ti /1KA PVD /3KA electroplated Blanket wafers Wafers left in sealed wafer carrier box Bonding Conditions: Standard temperature, bonding force, and bonding time Cleaning Conditions: Forming gas used; Chemical run at room temperature, 30 seconds puddle process (no spray / mechanical agitation) No Chemical Pretreatment Forming Gas Used EKC4000 TM Forming Gas Used EKC5500 TM Forming Gas Used Figure 16 conditions the amount of voids seen during the bonding process is significantly reduced, as shown in the image with no wet cleaning treatment. The EKC4000 treatment results in much lower voiding, especially close to the wafer edge. Following the same trend as the aggressive split, the EKC5500 exhibits the best performance resulting in a practically void-free surface. It is important to note in both splits that the CL300 cleaning tool did not use the spray arms for cleaning due to some equipment upgrades being installed. In the bonding clean tests the chemical was manually poured onto the wafer and allowed to puddle for 30 seconds, and then the wafers were rinsed and dried. Once the cleaning module becomes fully operational, there will be a significant cleaning enhancement due to the mechanical agitation and flow of the chemistry. Obtain electrical results from bonded wafers tested with different cleaning chemistries and/or conditions (this work is currently being performed by a 3 d party) As well, further work on the process application side needs to take place to better characterize and generate a process of record for the cleaning process: Continue process window testing on cleaning when CL300 cleaning module is fully functional Evaluate performance at different cleaning temperatures and times Also of interest is extending the cleaning evaluation to include different films that may be present or of interest in bonding: Further evaluate cleaning chemistries for added functionality of removal of Tin (Sn) oxide (initial work confirms Tin oxide removal) Looking at the overall process of integrating the high temperature polyimide adhesives and effective surface cleans the data indicates that the HD-3007 demonstrates a thermal stability strong enough to withstand 400 o C, and the EKC4000 and EKC5500 cleaning materials result in very low void - bonds. Further collaborative work will continue with to identify and optimize a complete - bonding solution. Acknowledgments The authors would like to acknowledge Dr. Shari Farrens and Sumant Sood of for their time and efforts performing the cleaning and copper bonding tests at their facility in Waterbury Center, VT. Cass Shang, Paul Bernatis, Akira Kuroda, and Atsushi Otake of DuPont/EKC Technology and Jeff Thompson of DuPont CR&D for their contributions in advanced cleaning in the areas of copper oxide removal, BTA removal, and copper oxidation barriers.

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Metal bonding Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen Timing (delete before presentation) Introduction (Outline, available bonding techniques, evaluation of metal bondings)-3

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Surface Layer Characterization of Atomized Magnesium for use in Powder Metallurgy Products Paul Burke and Georges J. Kipouros

Surface Layer Characterization of Atomized Magnesium for use in Powder Metallurgy Products Paul Burke and Georges J. Kipouros Surface Layer Characterization of Atomized Magnesium for use in Powder Metallurgy Products Paul Burke and Georges J. Kipouros Materials Engineering Program Process Engineering and Applied Science Dalhousie

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Surface Pretreatments for Remove of Native Cu Oxide Layer

Surface Pretreatments for Remove of Native Cu Oxide Layer Paper Surface Pretreatments for Remove of Native Cu Oxide Layer Hyunjin Ju 1, Yong-Hyuk Lee 2, Youn-Seoung Lee 2, and Sa-Kyun Rha 1 * 1 Department of Materials Engineering, Hanbat National University,

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Polymers Performance Overview. Bruce Duncan. Wednesday 18 th April 2007

Polymers Performance Overview. Bruce Duncan. Wednesday 18 th April 2007 Polymers Performance Overview Bruce Duncan Wednesday 18 th April 27 Measurements for Materials Performance 24-27 Scope and Aims: The Performance of Materials programme will develop measurement methodologies

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Transactions on Engineering Sciences vol 2, 1993 WIT Press, ISSN

Transactions on Engineering Sciences vol 2, 1993 WIT Press,  ISSN A study of thin-film continuous coating process by vapour deposition P. Gimondo," F. Arezzo,* B. Grifoni,* G. Jasch& "Centra Sviluppo Materiali SpA, Via di Castel & Von Ardenne Anlagentchnik GmbH, Plattleite

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Fraunhofer IZM Bump Bonding and Electronic Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer Institute for Reliability and Microintegration (IZM) Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: thomas.fritzsch@izm.fraunhofer.de

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

Supporting Information

Supporting Information Supporting Information Biomimetic multi-functional superamphiphobic FOTS-TiO 2 particles beyond lotus leaf Liwei Chen, a,b Zhiguang Guo* a,b and Weimin Liu b a Hubei Collaborative Innovation Centre for

More information

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Scott D. Szymanski March Plasma Systems Concord, California, U.S.A. sszymanski@marchplasma.com

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Cu(I)-Mediating Pt Reduction to Form Pt-Nanoparticle-Embedded Nafion Composites and Their Electrocatalytic O 2 Reduction

Cu(I)-Mediating Pt Reduction to Form Pt-Nanoparticle-Embedded Nafion Composites and Their Electrocatalytic O 2 Reduction Cu(I)-Mediating Pt Reduction to Form Pt-Nanoparticle-Embedded Nafion Composites and Their Electrocatalytic O 2 Reduction Jing-Fang Huang,* a and Wen-Rhone Chang a Supporting information Experimental Section

More information

Platypus Gold Coated Substrates. Bringing Science to the Surface

Platypus Gold Coated Substrates. Bringing Science to the Surface Platypus Gold Coated Substrates Bringing Science to the Surface Overview Gold Coated Substrates - Gold Coating Introduction - Glossary of Terms - Gold Coating Methods - Critical Features Platypus Gold

More information

Technology Drivers for Plasma Prior to Wire Bonding

Technology Drivers for Plasma Prior to Wire Bonding Technology Drivers for Plasma Prior to Wire Bonding James D. Getty Nordson MARCH Concord, CA, USA info@nordsonmarch.com Technology Drivers for Plasma Prior to Wire Bonding Page 1 ABSTRACT Advanced packaging

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES Fei Peng 1, Ernest Long 1, Jim Watkowski 1, Kesheng Feng 1, Naomi Ando 2, Kazuhiro Inazu 2 1 MacDermid, 227 Freight St,

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Rapid Cleaning Using Novel Processes With Coa7ngs

Rapid Cleaning Using Novel Processes With Coa7ngs Rapid Cleaning Using Novel Processes With Coa7ngs Alex Brewer and John Moore Daetec, LLC 1227 Flynn Rd., Unit 310 Camarillo CA 93012 www.daetec.com jmoore@daetec.com Surface PreparaHon and Cleaning Conference

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Yuanmei Cao, Kimberly Pollard, Travis Acra, Nichelle Gilbert, Richie Peters, Donald Pfettscher Abstract Negative

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY Q. T. LE*, E. KESTERS*, Y. AKANISHI**, A. IWASAKI**, AND F. HOLSTEYNS* * IMEC, LEUVEN, BELGIUM ** SCREEN SEMICONDUCTOR

More information

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Wireless implantable chip with integrated Nitinol-based

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Supporting Information. Low temperature synthesis of silicon carbide nanomaterials using

Supporting Information. Low temperature synthesis of silicon carbide nanomaterials using Supporting Information Low temperature synthesis of silicon carbide nanomaterials using solid-state method Mita Dasog, Larissa F. Smith, Tapas K. Purkait and Jonathan G. C. Veinot * Department of Chemistry,

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Supporting Information. Oxygen Intercalated CuFeO 2 Photocathode Fabricated by Hybrid Microwave Annealing for Efficient Solar Hydrogen Production

Supporting Information. Oxygen Intercalated CuFeO 2 Photocathode Fabricated by Hybrid Microwave Annealing for Efficient Solar Hydrogen Production Supporting Information Oxygen Intercalated CuFeO 2 Photocathode Fabricated by Hybrid Microwave Annealing for Efficient Solar Hydrogen Production Youn Jeong Jang, Yoon Bin Park, Hyo Eun Kim, Yo Han Choi,

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Passive components : 5 years failure analysis feedback From all markets

Passive components : 5 years failure analysis feedback From all markets 2 nd SPCD 12-14 October 2016 Passive components : 5 years failure analysis feedback From all markets Eric ZAIA (Material Engineer) Béatrice MOREAU (Passive components & PCB dpt. Manager) SUMMARY 1 Introduction

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Supporting Information. methacrylate) modified hyperbranched polyethylene for flexible conductive film

Supporting Information. methacrylate) modified hyperbranched polyethylene for flexible conductive film Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2016 Supporting Information Noncovalent dispersion of multi-walled carbon nanotubes with poly(tert-butyl

More information

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100)

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100) Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on H 3 -nitrided Si() Hiroshi akagawa, Akio Ohta, Fumito Takeno, Satoru agamachi, Hideki Murakami Seiichiro Higashi

More information

MATERIALS TEST LABORATORY

MATERIALS TEST LABORATORY MATERIALS TEST LABORATORY Fundamental component of Development and Marketing Strategy A Collection of dedicated Laboratories & Testing Cells World Class facility for testing and demonstration Simulate

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Materials Transactions, Vol. 44, No. 3 (2003) pp. 389 to 395 #2003 The Japan Institute of Metals An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Rongguang

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

STUDY ON HYDROXYAPATITE COATING ON BIOMATERIALS BY PLASMA SPRAY METHOD

STUDY ON HYDROXYAPATITE COATING ON BIOMATERIALS BY PLASMA SPRAY METHOD STUDY ON HYDROXYAPATITE COATING ON BIOMATERIALS BY PLASMA SPRAY METHOD Dr. Hanumantharaju H. G 1, Dr. H. K. Shivanand 2, Prashanth K. P 3, K. Suresh Kumar 4, S. P. Jagadish 5 1,2 UVCE, Department of Mechanical

More information

De-ionized water. Nickel target. Supplementary Figure S1. A schematic illustration of the experimental setup.

De-ionized water. Nickel target. Supplementary Figure S1. A schematic illustration of the experimental setup. Graphite Electrode Graphite Electrode De-ionized water Nickel target Supplementary Figure S1. A schematic illustration of the experimental setup. Intensity ( a.u.) Ni(OH) 2 deposited on the graphite blank

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

Supplementary Information for

Supplementary Information for Supplementary Information for An ultra-sensitive resistive pressure sensor based on hollow-sphere microstructure induced elasticity in conducting polymer film Lijia Pan, 1,2 Alex Chortos, 3 Guihua Yu,

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

The Role Of Electroplates In Contact Reliability

The Role Of Electroplates In Contact Reliability The Role Of Electroplates In Contact Reliability W.H. Abbott Battelle-Columbus Abbott@battelle.org 10/24/02 1 Overview Electroplating Is A Process; i.e. It Should Not Be Viewed As Simply A Material The

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Sasaki and Tani: Via Formation Process for Smooth Copper Wiring (1/6) [Technical Paper] Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Shinya Sasaki and Motoaki

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

POSISTRIP EKC830. Will effectively remove hard to remove positive photoresist

POSISTRIP EKC830. Will effectively remove hard to remove positive photoresist EKC Technology POSISTRIP EKC830 Rev. C POSISTRIP EKC830 Posistrip EKC830 is an organic photoresist remover which removes positive photoresists that have experienced harshly treated processing. Posistrip

More information

Supporting Information for Fluorinated Ethylene Carbonate as Electrolyte Additive for Rechargeable Na Batteries

Supporting Information for Fluorinated Ethylene Carbonate as Electrolyte Additive for Rechargeable Na Batteries Supporting Information for Fluorinated Ethylene Carbonate as Electrolyte Additive for Rechargeable Na Batteries Shinichi Komaba,* a Toru Ishikawa, a Naoaki Yabuuchi, a Wataru Murata, a Atsushi Ito, b and

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

A Study of Microwave Behavior of a Thin-Print Gold Ink

A Study of Microwave Behavior of a Thin-Print Gold Ink A Study of Microwave Behavior of a Thin-Print Gold Ink By David J. Nabatian KOARTAN Microelectronic Interconnect Materials Mountain Ridge Business Park, Unit B2, 248 Sussex Tpk., Randolph, NJ 07869 Phone:

More information

Supporting Information. Selective Metallization Induced by Laser Activation: Fabricating

Supporting Information. Selective Metallization Induced by Laser Activation: Fabricating Supporting Information Selective Metallization Induced by Laser Activation: Fabricating Metallized Patterns on Polymer via Metal Oxide Composite Jihai Zhang, Tao Zhou,* and Liang Wen State Key Laboratory

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. ARTICLE NUMBER: 16178 DOI: 10.1038/NENERGY.2016.178 Enhanced Stability and Efficiency in Hole-Transport Layer Free CsSnI3 Perovskite Photovoltaics Supplementary

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Supplementary Information

Supplementary Information Supplementary Information Sulphonated Polyimide/Acid Functionalized Graphene Oxide Composite Polymer Electrolyte Membranes with Improved Proton Conductivity and Water Retention Properties Ravi P. Pandey,

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

Supplementary Figure 1. Schematic for the growth of high-quality uniform

Supplementary Figure 1. Schematic for the growth of high-quality uniform Supplementary Figure 1. Schematic for the growth of high-quality uniform monolayer WS 2 by ambient-pressure CVD. Supplementary Figure 2. Schematic structures of the initial state (IS) and the final state

More information

Reclaimed Silicon Solar Cells

Reclaimed Silicon Solar Cells 61 Reclaimed Silicon Solar Cells Victor Prajapati. Department ofmicroelectronic Engineering, 82 Lomb Memorial Dr., Rochester, NY 14623. Email: Victor.Prajapati@gmail.com Abstract Fully processed CMOS Si

More information

Supplementary Information

Supplementary Information Supplementary Information Atmospheric microplasma-functionalized 3D microfluidic strips within dense carbon nanotube arrays confine Au nanodots for SERS sensing Samuel Yick, Zhao Jun Han and Kostya (Ken)

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Hierarchical and Well-ordered Porous Copper for Liquid Transport Properties Control

Hierarchical and Well-ordered Porous Copper for Liquid Transport Properties Control Supporting Information Hierarchical and Well-ordered Porous Copper for Liquid Transport Properties Control Quang N. Pham 1, Bowen Shao 2, Yongsung Kim 3 and Yoonjin Won 1,2 * 1 Department of Mechanical

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services  MEMS, IC, III-Vs. AML AML- Technical Benefits 4 Sept 2012 www.aml.co.uk AML In-situ Aligner Wafer Bonders Wafer bonding capabilities:- Anodic Bonding Si-Glass Direct Bonding e.g. Si-Si Glass Frit Bonding Eutectic Bonding

More information

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules TFS PRODUCTION SOLUTIONS TFS Production Solutions For thin-film solar modules 2 TFS PRODUCTION SOLUTIONS MANZ AG 3 TFS PRODUCTION SOLUTIONS MANZ AG GERMAN ENGINEERING INTERNATIONALLY STAGED GLOBAL REFERENCES

More information

PWB Creeping Corrosion Mechanism and Mitigation Strategy

PWB Creeping Corrosion Mechanism and Mitigation Strategy PWB Creeping Corrosion Mechanism and Mitigation Strategy Jim Kenny, Karl Wengenroth, Ted Antonellis, ShenLiang Sun, Dr. Cai Wang, Edward Kudrak, Dr. Joseph Abys Enthone Inc., Cookson Electronics West Haven,

More information

SOLVENT SUBSTITUTION FOR ELECTRONIC ASSEMBLY CLEANING

SOLVENT SUBSTITUTION FOR ELECTRONIC ASSEMBLY CLEANING SOLVENT SUBSTITUTION FOR ELECTRONIC ASSEMBLY CLEANING M. C. Oborny, E. P. Lopez, D. E. Peebles and N. R. Sorensen Sandia National Laboratories Albuquerque, New Mexico ABSTRACT The Department of Energy

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma Supporting Information Wafer-scale high-resolution patterning of reduced graphene oxide films for detection of low concentration biomarkers in plasma Jinsik Kim a, Myung-Sic Chae a, Sung Min Lee b, Dahye

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Supplementary Information. for

Supplementary Information. for Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supplementary Information for Nanoslitting Phase-separated Block Copolymers by Solvent Swelling

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

TRIVALENT CHROMIUM PASSIVATION ENHANCEMENT OF THE LAYER FORMATION BY SURFACE ACTIVE SUBSTANCES

TRIVALENT CHROMIUM PASSIVATION ENHANCEMENT OF THE LAYER FORMATION BY SURFACE ACTIVE SUBSTANCES Protection upgraded TRIVALENT CHROMIUM PASSIVATION ENHANCEMENT OF THE LAYER FORMATION BY SURFACE ACTIVE SUBSTANCES ASETS, Denver, Aug 2018 September 7, 2018-1 - SURTEC 650 - TRIVALENT CHROMIUM PASSIVATION

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test Materials Transactions, Vol. 52, No. 3 (2011) pp. 464 to 468 #2011 The Japan Institute of Metals Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Introducing Advanced PCMP Cleaning Solutions

Introducing Advanced PCMP Cleaning Solutions Introducing Advanced PCMP Cleaning Solutions With Surfactanized Metal Inhibitors and Oxygen Scavengers New Particle Remover By Geoffrey Yuxin Hu, Brizon Inc Lily Yao, Western Digital Corporation Contents

More information

Supporting Information

Supporting Information Supporting Information Conditioning-Free Electrolytes for Magnesium Batteries Using Sulfone-Ether Mixtures with Increased Thermal Stability Laura C. Merrill and Jennifer L. Schaefer*, University of Notre

More information

MEPTEC Semiconductor Packaging Technology Symposium

MEPTEC Semiconductor Packaging Technology Symposium MEPTEC Semiconductor Packaging Technology Symposium Advanced Packaging s Interconnect Technology Process Shift and Direction October 23, 2014 Jay Hayes- Director of Business Development -Bumping and Flip

More information

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation YOSHIHISA Kumiko : Advanced Applied Science Department, Research Laboratory, Corporate Research & Development YOSHIMURA

More information

PRICE LIST 3IT.NANO 2017

PRICE LIST 3IT.NANO 2017 This price list is valid from July 1st to December 31,. Rates may be subject to change. LNN AREA - CLEANROOM class 100 Access fee and operator hourly rate LNN access fee Operator hourly rate Equipment

More information

CONSTRUCTIONAL ANALYSIS FOR QFN STACKED DIE FAILURE IDENTIFICATION. Universiti Kebangsaan Malaysia UKM Bangi,Selangor, Malaysia

CONSTRUCTIONAL ANALYSIS FOR QFN STACKED DIE FAILURE IDENTIFICATION. Universiti Kebangsaan Malaysia UKM Bangi,Selangor, Malaysia CONSTRUCTIONAL ANALYSIS FOR QFN STACKED DIE FAILURE IDENTIFICATION W. Shualdi 1, W. M. S. W. Suliman 1, A. Isnin 2 and N. A. Mohamad 2 1 Advanced Semiconductor Packaging (ASPAC) Research Laboratory Universiti

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information