EE 247B/ME 218: Introduction to MEMS Design Lecture 25m2: Sensing Circuit Non-Idealities & Integration CTN 4/21/16

Size: px
Start display at page:

Download "EE 247B/ME 218: Introduction to MEMS Design Lecture 25m2: Sensing Circuit Non-Idealities & Integration CTN 4/21/16"

Transcription

1 EE 247B/ME 218: Introduction to MEM esign Actual Op Amps Are Not Ideal Actual op amps, of course, are not ideal; rather, they enerate noise Have finite gain, A o Have finite bandwidth, b Have finite input resistance, R i Have finite input capacitance, C i Have finite output resistance, R o Have an offset voltage V O between their (+) and (-) terminals Have input bias currents Have an offset I O between the bias currents into the (+) and (-) terminals Have finite slew rate Have finite output swing (governed by the supply voltage used, -L to +L) And what s worse: All of the above can be temperature (or otherwise environmentally) dependent! 10 Finite Op Amp ain and Bandwidth For an ideal op amp: A In reality, the gain is given by: A For >> b : A0 A0 b T A( s) s b s s A j log 20 A 0 b s A0 s 1 This pole actually designed in for some op amps. 3 db frequency Open-loop response of the amplifier. 11 T b Integrator w/ time const. 1/ T 20dB/dec Unity gain frequency: Finite ain Finite Bandwidth T A0 b Effect of Finite Op Amp ain +V P Total AXL-50 ense C ~ 100fF C p C gd + - Unity ain Buffer v 0 Integration of MEM and Transistors -V P

2 EE 247B/ME 218: Introduction to MEM esign Integrate or Not? 250 nm CMO Cross-ection Benefits: Lower parasitic capacitance and resistance improved sensitivity and resolution, higher operation frequency Better reliability Reduced size lower cost? Reduced packaging complexity integration is a form of packaging lower cost? Higher integration density supports greater functionality Challenges: Temperature ceilings imposed by the transistors or MEM Protecting one process from the other urface topography of MEM Material incompatibilities Multiplication of yield losses (versus non-integrated) Acceptance by transistor foundries ub 2nd Level Metal Interconnect (e.g., Cu) 14 Merged MEM/Transistor Technologies (Process Philosophy) 1st Level Metal Interconnect (e.g., Al) LPCV io2 Polysilicon ate CV Tungsten P+ LOCO Oxidation N N+ P+ N Well - PMO ubstrate N+ P P Well - NMO ubstrate Tii2 Contact Barrier ilicon ubstrate ub P TiN Local Interconnect Lightly oped rain (L) masks masks and and aa lot lot more more complicated complicated than than MEM! MEM! 15 Analog evices BiMEM Process Interleaved MEM and 4 m BiMO processes (28 masks) iffused n+ runners used to interconnect MEM & CMO Relatively deep junctions allow for MEM poly stress anneal Used to manufacture the AXL-50 accelerometer and MEM-Last: Analog evices family of accelerometers MEM-First: Mixed: problem: multiple passivation/protection steps large number of masks required problem: custom process for each product MEM-first or MEM-last: adv.: modularity flexibility less development time adv.: low pass./protection complexity fewer masks

3 EE 247B/ME 218: Introduction to MEM esign Merged MEM/Transistor Technologies (Process Philosophy) Analog evices BiMEM Process (cont) Examples: Old New Mixed: problem: multiple passivation/protection steps large number of masks required problem: custom process for each product Analog evices AXL-202 Multi-Axis Accelerometer Analog evices AXL 78 MEM-first or MEM-last: adv.: modularity flexibility less development time adv.: low pass./protection complexity fewer masks Can you list the advances in the process from old to new? 18 MEM-First Integration 19 Problem: structural topography interferes with lithography Module 1: micromachining process (planar technology) Module 2: transistor process (planar IC technology) Adv.: (ideally) no changes needed to the transistor process Adv.: high temperature ceiling for some MEM materials Challenges: Reducing topography after MEM processing so transistors can be processed Maximizing the set of permissible MEM materials; the materials must be able to withstand transistor processing temperatures etting transistor foundries to accept pre-processed wafers MEM-First Integration Modular technology minimizes product updating effort difficult to apply photoresist for submicron circuits oln.: build mechanics in a trench, then planarize before circuit processing [mith et al, IEM 95]

4 EE 247B/ME 218: Introduction to MEM esign MEM-First Ex: andia s imem Used to demonstrate functional fully integrated oscillators Issues: lithography and etching may be difficult in trench may limit dimensions (not good for RF MEM) mechanical material must stand up to IC temperatures (>1000 o C) problem for some metal materials might be contamination issues for foundry IC s [mith et al, IEM 95] Bosch/tanford MEM-First Process ingle-crystal silicon microstructures sealed under epi-poly encapsulation covers Many masking steps needed, but very stable structures Epi-Poly eal Resonator Epi-Poly Cap ubstrate Contact Epi-silicon for CMO Transistor Circuits Vacuum Chamber [Kim, Kenny Trans 05] Mechanical evice Problems With MEM-First Many masking steps needed, plus CMP required cost can grow if you re not careful Processes using trenches sacrifice lithographic resolution in microstructures MEM must withstand transistor processing temperatures Precludes the use of structural materials with low temperature req mts: metals, polymers, etc. Exotic MEM (e.g., ZnO) that can contaminate transistors during their processing are not permissible thus, not truly modular Foundry acceptance not guaranteed and might be rare Foundry Acceptance of MEM-First? Is a CMP ed silicon surface sufficiently pure for fabrication of aggressively scaled transistors? How about if an oxide is grown over the CMP ed surface and removed via a wet etch to yield a pristine surface? Is epi silicon grown as part of a sealing process sufficiently pure for fabrication of aggressively scaled transistors? CMO is many times more difficult to run than MEM Feature sizes on the nm scale for billions of devices Contamination a big issue: many foundries may not accept pre-processed wafers for contamination reasons Many foundries will not accept any pre-processed wafers, MEM or not just can t guarantee working transistor circuits with unknowns in starting silicon

5 EE 247B/ME 218: Introduction to MEM esign Merged MEM/Transistor Technologies (Process Philosophy) Mixed: problem: multiple passivation/protection steps large number of masks required problem: custom process for each product MEM-first or MEM-last: adv.: modularity flexibility less development time adv.: low pass./protection complexity fewer masks 26 MEM-Last Integration Modular technology minimizes product updating effort Module 1: transistor process (planar IC technology) Module 2: micromachining process (planar technology) Adv.: foundry friendly Virtually any foundry can be used can use the lowest cost transistor circuits (big advantage) Adv.: topography after circuit fabrication is quite small, especially given the use of CMP to planarize the metallization layers Issue: limited thermal budget limits the set of usable structural materials Metallization goes bad if temperature gets too high Aluminum grows hillocks and spikes junctions if T>500 o C Copper diffusion can be an issue at high temperature Low-k dielectrics used around metals may soon lower the temperature ceiling to only 320 o C 27 Berkeley Polysilicon MIC Process urface Micromachining Uses surface-micromachinedpolysilicon microstructures with silicon nitride layer between transistors & MEM Polysilicon dep. T~600 o C; nitride dep. T~835 o C 1100 o C RTA stress anneal for 1 min. metal and junctions must withstand temperatures ~835 o C tungsten metallization used with Tii 2 contact barriers in situ doped structural polyi; rapid thermal annealing 28 Fabrication steps compatible with planar IC processing 29 5

6 EE 247B/ME 218: Introduction to MEM esign ingle-chip Ckt/MEM Integration Usable MEM-Last Integration Completely monolithic, low phase noise, high-q oscillator Problem: tungsten is not an accepted primary interconnect (effectively, an integrated crystal oscillator) metal Challenge: retain conventional metallization minimize post-cmo processing temperatures explore alternative structural materials (e.g., plated nickel, ie [Franke, Howe et al, MEM 99]) Limited set of usable structural materials not the best situation, but workable Oscilloscope Output Waveform [Nguyen, Howe [Nguyen, Howe1993] 1993] To allow the use of >600oC processing temperatures, tungsten (instead of aluminum) is used for metallization 30 Poly-ie MIC Process Poly-ie MEM hielded vertical signal path to gate of input transistor [Franke, Howe 2001] eposition processed directly above conventional foundry circuits enabled by lower deposition temperature of ie ~450oC Adv.: alleviates contamination issues of pre-circuit processes, allowing a wider choice of IC technologies 5-Level Metal Foundry CMO Polysilicon ermanium MIC = Modular Integration of Circuits and tructures MEM-last process, where ie micromechanics are planar hielded Interconnect to drive electrode 32 LPCV thermal decomposition of eh4 and ih4 or i2h6 Rate >50 Å/min, T < 475 C, P = mt At higher [e]: rate, T In-situ doping, ion implantation ry Etching imilar to poly-i, use F, Cl, and Br- containing plasmas Rate ~0.4 m/min Wet Etching o 90 C: can get 4 orders of magnitude selectivity between >80% and <60% e content ood release etchant 6

7 EE 247B/ME 218: Introduction to MEM esign Poly-ie Mechanical Properties UCB Poly-ie MIC Process Conformal deposition Low as-deposited stress (when 2 m standard CMO process w/ Al metallization P-type poly-i0.35e0.65 structural material; poly-e Young s modulus ~ 146 Pa (for Process: its done right) sacrificial material Passivate CMO w/ 400oC Open vias to interconnect runners eposit & pattern ground plane RTA anneal to lower resistivity (550oC, 30s) poly-i0.35e0.65) ensity ~4280 kg/m3 Acoustic velocity ~5840 m/s (25% lower than polysilicon) Harder to achieve high frequency devices Fracture strain 1.7% (compared to 1.5% for MUMP polyi) Q=30,000 for n-type poly-e in vacuum 34 AIMP Ckt/MEM Integration Process MEM constructed from metal/insulator laminates of foundry CMO Top metal layer used as etch mask for CHF3/O2 oxide etch tructures released via a final F6 isotropic dry etch Independent electrostatic actuation possible due to multiple insulated metal layers tress issues can be tricky Must design defensively against warping 35 AIMP Ckt/MEM Integration Process irect integration of Al/oxide MEM structure with silicon CMO or ie BiCMO circuits Multiple electrodes within structures erivatives for bulk silicon structures Composite Beam Etched Pit yro Resonator CMO Transistor Metal/insulator stack ilicon ubstrate [. Fedder, CMU] Transistor Circuits tator Electrodes [. Fedder, CMU] 36 Uncooled IR etector Element 37 7

Integrated Processes. Lecture Outline

Integrated Processes. Lecture Outline Integrated Processes Thara Srinivasan Lecture 14 Picture credit: Lemkin et al. Lecture Outline From reader Bustillo, J. et al., Surface micromachining of MEMS, pp. 1556-9. A.E. Franke et al., Polycrystalline

More information

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie CMOS MEMS Agenda: Lecture 7 CMOS MEMS: Fabrication Pre-CMOS Intra-CMOS Post-CMOS Deposition Etching Why CMOS-MEMS? Smart on-chip CMOS circuitry

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Lecture 3: Integrated Processes

Lecture 3: Integrated Processes Lecture 3: Integrated Processes Single-Crystal Silicon Process Integration Polysilicon Micromachining Process Integrated CMOS Micromachining Process ENE 5400, Spring 2004 1 Single Crystal Silicon ENE 5400,

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS John Yasaitis a, Michael Judy a, Tim Brosnihan a, Peter Garone a, Nikolay Pokrovskiy a, Debbie Sniderman a,scottlimb

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Dr. Lynn Fuller Webpage:

Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Microelectromechanical Systems (MEMs) Process Integration Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

4. Process Integration: Case Studies

4. Process Integration: Case Studies Case Study #2: FCantilevered Microgripper Surface Machined MEMS Case Study #2: FCantilevered Microgripper Sandia Lucent Sandia Integrated Accelerometers Optomechanical Systems Integrated Sensors 1 Bulk

More information

This Appendix discusses the main IC fabrication processes.

This Appendix discusses the main IC fabrication processes. IC Fabrication B B.1 Introduction This Appendix discusses the main IC fabrication processes. B.2 NMOS fabrication NMOS transistors are formed in a p-type substrate. The NMOS fabrication process requires

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Surface

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information. 1 Introduction 1.1 Overview of MEMS fabrication Microelectromechanical systems (MEMS) fabrication developed out of the thin-film processes first used for semiconductor fabrication. To understand the unique

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Interconnect Issues for Integrated MEMS Technology

Interconnect Issues for Integrated MEMS Technology Interconnect Issues for Integrated MEMS Technology Tsu-Jae King, Roger T. Howe *, Marie-Ange Eyoum and Sunil A. Bhave * Dept. of Electrical Engineering and Computer Sciences, * Berkeley Sensor and Actuator

More information

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 0, 00 1 CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

ASIM-X MEMS-Specific Design Rules

ASIM-X MEMS-Specific Design Rules ASIM-X MEMS-Specific Design Rules Version 2 Revised April 5, 2006. This is a beta version, subject to change. Revised by G. K. Fedder, Carnegie Mellon University. 1 Process Overview ASIM-X, an acronym

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone! -Spring 006 Digital Integrated Circuits Lecture 4 CMOS Manufacturing Process Design Rules EECS141 1 Good News! We are moving to 155 Donner Lab From Thursday, Feb We will be able to accommodate everyone!

More information

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi.

EE 330 Fall Ruden Michael. Al Kaabi Humaid. Archer Tyler. Hafeez Mustafa. Mullen Taylor. Thedens Peter. Cao Khoi. 1 2 5 6 3 4 8 7 1 2 3 4 5 6 ROW EE 330 Fall 2017 9 10 Al Kaabi Humaid Alegria Francisco Allison Trenton Alva Caroline Archer Tyler Bahashwan Abdullah Betke Jarrett Chun Junho Davidson Caleb Faronbi Matthew

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Surface Micromachining and Inertial Sensors

Surface Micromachining and Inertial Sensors Surface Micromachining and Inertial Sensors Tutorial 2A Bob Sulouff Analog Devices Inc. Cambridge, MA. 02139 Bob.Sulouff@Analog.com 617-761- Presentation Outline Technology of MEMS Surface Micromachined

More information

Poly-SiGe MEMS actuators for adaptive optics

Poly-SiGe MEMS actuators for adaptive optics Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Manufacturing Process

Manufacturing Process CMOS Manufacturing Process CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten SiO n+ p-well p-epi poly n-well p+ SiO p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V DD

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts MEMS Fabrication Beyond Integrated Circuits MEMS Basic Concepts Uses integrated circuit fabrication techniques to make mechanical as well as electrical components on a single chip. Small size 1µm 1mm Typically

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE CMOS TECHNOLOGY- Chapter 2 in the Text 1 EE 212 FALL 1999-00 CMOS TECHOLOGY- Chapter 2 in the Text In this set of notes we will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

n region. But, it is a bit difficult

n region. But, it is a bit difficult VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 31 Problems in Aluminium Metal Contacts So, we have been discussing about the

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information