High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

Size: px
Start display at page:

Download "High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon"

Transcription

1 High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P. Ranade, L. Shifren*, C. Weber* and K. Zawadzki Logic Technology Development, *Process Technology Modeling Intel Corporation IEDM 2008

2 Outline Transistor Scaling Stress Effects on Mobility Comparison of (100) and (110) Silicon Substrates Device Performance Summary IEDM

3 Key Messages Record PMOS drive current of 1.2mA/um is presented for devices on (110) silicon substrates For short gate lengths, NMOS drive currents on (110) substrates are not degraded as much as believed by many in literature The fundamental physics behind these behaviors is understood IEDM

4 Outline Transistor Scaling Stress Effects on Mobility Comparison of (100) and (110) Silicon Substrates Device Performance Summary IEDM

5 Traditional Scaling Id= Cox/Le(Vg-Vt) Source Source Gate Gate Drain Drain 1 na/um Ioff Gate Length REXT Voltage Potential Contours 0.0 R EXT Junction Depth (nm) Traditional device scaling requires all dimensions to scale to maintain performance and leakage Scaling junction depths and S/D areas is causing Rext increase IEDM

6 Constant Leakage Scaling Id= Cox/Le(Vg-Vt) Doping Concentration 2.5E E E E E E+00 Solid Solubility Depth Doping Year Junction Depth Normalized Value VT IDLIN Gate Length IDSAT Junction scaling is slowing due to unacceptable resistance increases Scaling gate lengths at constant leakage requires increasing Vt which results in drive current reduction Traditional device scaling is losing steam IEDM

7 Mobility Scaling Id= Cox/Le(Vg-Vt) Increasing mobility increases device performance without increasing leakage Reducing scattering mechanisms, applying stress and surface orientation all affect mobility Ge Conc. (%) nm 65nm 45nm Technology node Gate-to-SiGe S/D (nm) 65 nm 45 nm IEDM

8 Outline Transistor Scaling Stress Effects on Mobility Comparison of (100) and (110) Silicon Substrates Device Performance Summary IEDM

9 Silicon Band Structure gap conduction band for electrons valence band for holes Electron hh Hole IEDM

10 Stress and Band Structure k y (2 /a) D B Unstressed k x (2 /a) A C 0.2 k y (2 /a) D B M.Giles, AVS 2006 Stressed A C k x (2 /a) (001) surface 1GPa uniaxial stress [110] 1 MV/cm vertical field 30meV energy contours Compression lowers the energy of (C,D) Holes redistribute from (A,B) to (C,D) The effective mass is reduced IEDM

11 Conduction Band Stress Response z Repopulation y y Scattering x [110] x Energy No stress XY Plane [110] uniaxial tensile [001] uniaxial compressive E Mobility gain comes from valley repopulation, valley warping, and scattering suppression M.Giles, AVS 2006 IEDM

12 Stress Effects on Mobility longitudinal stress transverse stress vertical stress longitudinal stress transverse stress vertical stress Mobility Ratio MV/cm MV/cm Electrons Stress MPa Holes Stress MPa Longitudinal tension and vertical compression increases electron mobility Longitudinal compression and vertical tension increases hole mobility Transverse tension increases both electron and hole mobility Hole mobility shows a greater sensitivity to stress for (100) IEDM

13 Stress Methods Capping Layers Gate Induced Epitaxial Layers Contacts C. Auth, VLSI 2008 IEDM

14 1000 VDD = 1.0V NMOS Stress Contact Stress Ioff(nA/ m) % 10 Tensile Fill Control Idsat(mA/ m) 1000 VDD = 1.0V Gate Stress Ioff (na/ m) % Compressive Gate Control Idsat (ma/ m) C. Auth, VLSI 2008 IEDM

15 PMOS Stress SiGe Stress K.Mistry, 2004 VLSI IEDM

16 Outline Transistor Scaling Stress Effects on Mobility Comparison of (100) and (110) Silicon Substrates Device Performance Summary IEDM

17 Substrate Orientation (100) (110) [010] [001] [100] [100] [010] [001] calculated using MASTAR ( IEDM

18 PMOS Hole Occupation and Band Structure (100) (110) stressed unstressed ky <-110> ky <001> constant energy contours kx <110> kx <110> More dense contour lines show lower effective mass for (110) PMOS hole occupation of band structure shows a larger difference between unstressed and stressed devices for (100) IEDM

19 Stress Response of Electron Mobility Mobility Ratio longitudinal stress stress transverse stress transverse stress vertical stress vertical stress (100) Electrons Stress MPa longitudinal stress transverse longitudinal stress stress vertical transverse stress stress vertical stress (100) (110) Electrons Holes Mobility Ratio Stress MPa Stress MPa Stress MPa Longitudinal tension improves (100) and (110) mobility Vertical and transverse stress show opposite dependencies Stress sensitivity is larger for (110) substrate orientation IEDM

20 Stress Response of Hole Mobility Mobility Ratio longitudinal stress longitudinal stress transverse stress vertical transverse stress stress vertical stress vertical stress Mobility Ratio longitudinal stress transverse stress vertical stress (100) (110) Electrons Holes Holes Stress MPa Stress MPa Stress MPa MPa Longitudinal compression improves (100) and (110) mobility Vertical and transverse tension improves (100) and (110) mobility Stress sensitivity is larger for (100) substrate orientation IEDM

21 Simulated Hole and Electron Mobility Hole Mobility (cm 2 /Vs) (100) Mobility (110) Mobility 1.6x Hole 3.5x <110> Stress (MPa) x (100) Mobility (110) Mobility 2000 Electron 1000 <110> Stress (MPa) 2.5x Electron Mobility (cm 2 /Vs) Hole mobility increases and electron mobility decreases for (110) substrates The difference in mobility depends on device stress IEDM

22 Outline Transistor Scaling Stress Effects on Mobility Comparison of (100) and (110) Silicon Substrates Device Performance Summary IEDM

23 45 nm Hi-K+Metal Gate Technology Based on Intel s 45 nm process technology High-k first, metal gate last process architecture 35nm gate length 160 nm contacted gate pitch 1.0 nm EOT High-k Dual workfunction metal gate electrodes 3 RD generation strained silicon K.Mistry IEDM 2007 IEDM

24 (110) and (100) PMOS Idsat Ioff IOFF (A/um) (A/um) 1E-5 1E-6 1E-7 1E-8 1E Idsat (ma/um) IDSAT (ma/um) % (110) (100) Record PMOS drive currents of 1.2 ma/um at 1.0V and 100nA/um Ioff are reported for (110) substrates The performance improvement is 15% for (110) substrates compared to (100) substrates IEDM

25 PMOS (110)/(100) Idsat Improvement versus Stress PMOS % IDSAT improvement 50% experimental (110)/(100) data 40% (110)/(100) 30% 20% 10% 0% 0% 10% 20% 30% 40% Ge Fraction Under stress, the relative hole mobility between (110) and (100) substrates decreases due to the larger stress sensitivity of mobility on the (100) substrate Even under high stress, substantial performance improvement is seen IEDM

26 (110) and (100) NMOS Idsat 1E-7 160nm Lgate 1E-5 35nm Lgate Ioff (A/um) 1E-8 1E-9 1E-10 40% 1E Idsat IDSAT (ma/um) (110) (100) Ioff IOFF (A/um) 1E-6 1E-7 1E-8 1E-9 13% (110) (100) Idsat IDSAT (ma/um) As channel lengths are decreased, NMOS performance loss on (110) substrates is reduced Why is the degradation reduced? IEDM

27 Surface Confinement Effect [110] Bulk conduction m [110] =0.43m e (100) surface confinement (110) surface confinement Ground state [110] m [110] =0.19m e [110] m [110] =0.55m e Surface confinement changes ground state transport mass Separation depends on confinement field IEDM

28 Local Electron Mobility Electron Density (/cm 3 ) 1e20 1e18 1e16 1e14 1e12 (100) inversion layer at center of channel Device Length 30nm 60nm 0.03 um 0.06 um 1e Distance from Surface (A) (110)/(100) mobility ratio (a) source mid- Source Channel drain Drain tip/channel channel tip/channel Lgate nm nm nm nm LC LC Slice Location Location along along Device the Lgate k. p calculations for an unstressed device show the maximum degradation occurs near the middle of the channel As the channel lengths is decreases, the carrier confinement is reduced due to 2D short channel effects IEDM

29 2D Effects on Valley Splitting Valley Splitting splitting due to Confinement Field field for Long in Long Channel Device Valley Splitting splitting Reduction reduced in due Short to 2D SCE for Short Channel Channel Device E o E 1 E 1 -E 2 =large E o E 1 E 1 -E 2 =reduced due E 2 to 2D short-channel effects E 2 Reduced carrier confinement in short channel devices due to 2D effects reduce the valley splitting This reduction results in reduced NMOS performance loss for (110) substrates IEDM

30 Simulated 2D Effects Simulated NMOS and PMOS performance both with and without 2D effects The NMOS devices shows a large reduction in short channel degradation when 2D effects are included Due to high stress effects in the PMOS device, little change is seen by including the 2D effects. IEDM

31 Narrow Width Stress Effects STI Expand Compress Gate Channel % IDSAT Loss 0% -2% -4% -6% -8% -10% NMOS (110)/(100) -12% -14% Device Width (um) STI causes compressive transverse stress in the channel which increases at narrower device widths Transverse compression improves (110) and degrades (100) electron mobility NMOS performance for typical devices is only degraded by 5-8% IEDM

32 Reliability Data Relative BTI (100) (110) (100) (110) NMOS PMOS BTI reliability data for 45nm high-k+metal gate devices show no intrinsic difference between (100) and (110) substrate orientations IEDM

33 Outline Transistor Scaling Stress Effects on Mobility Comparison of (100) and (110) Silicon Substrates Device Performance Summary IEDM

34 Summary Record PMOS drive current of 1.2mA/um are shown PMOS drive currents on (110) substrates show a 15% performance improvement NMOS drive currents on (110) substrates for typical device widths are only degraded 5-8% The fundamental physical reason behind these behaviors is understood The use of (110) silicon substrates is a promising technology option IEDM

35 For further information on Intel's silicon technology, please visit our Technology & Research page at IEDM

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

Strain for CMOS performance Improvement

Strain for CMOS performance Improvement IBM Corporation Strain for CMOS performance Improvement +Victor Chan, +Ken Rim, #Meikei Ieong, +Sam Yang, +Rajeev Malik, Young Way Teh, #Min Yang, #Qiqing (Christine) Ouyang +IBM Systems & Technology Group,

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance 6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance techniques have been developed to strain the Si in the MOSFET channel, in order to enhance carrier mobility and current drive some of

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS

More information

Characterization and Fabrication of 90nm Strained Silicon PMOS using TCAD

Characterization and Fabrication of 90nm Strained Silicon PMOS using TCAD Characterization and Fabrication of 90nm Strained Silicon PMOS using TCAD M. A. Abd Hamid and F. Sulaiman, Member, IEEE Abstract The paper focuses on the enhancement of conventional 90nm PMOS using graded

More information

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs S. Flachowsky a), R. Illgen a), T. Herrmann a), A. Wei b), J. Höntschel b), M. Horstmann b), W. Klix a), and R. Stenzel

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

STRAINED SILICON DEVICES: MECHANISM & APPLICATIONS

STRAINED SILICON DEVICES: MECHANISM & APPLICATIONS STRAINED SILICON DEVICES: MECHANISM & APPLICATIONS Sandeep Khichar 1, Laxmikant Paptan 2, Sukoon Mishra 3, Akriti Singhal 4 M.Tech Scholor, Dept. of ECE. Sri Balaji College of Engg. & Technology, Jaipur,

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

32nm Low Standby Power NMOS Fabrication Simulation

32nm Low Standby Power NMOS Fabrication Simulation 32nm Low Standby Power NMOS Fabrication Simulation 14:332:468 Microelectronics Processing by Bryan De La Torre badlt@eden.rutgers.edu Rohan Pundlik rpundlik@eden.rutgers.edu Advisor: Prof. Jaeseok Jeon

More information

Piezoresistance in Silicon. Dr. Lynn Fuller Webpage:

Piezoresistance in Silicon. Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Piezoresistance in Silicon Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES ELECTRON ENERGY BAND STRUCTURES Atomic states split to form energy bands Adapted from Fig. 18.2, Callister & Rethwisch 9e. 2 BAND STRUCTURE REPRESENTATION

More information

Strained Silicon-On-Insulator Fabrication and Characterization

Strained Silicon-On-Insulator Fabrication and Characterization 10.1149/1.2728880, The Electrochemical Society Strained Silicon-On-Insulator Fabrication and Characterization M. Reiche a, C. Himcinschi a, U. Gösele a, S. Christiansen a, S. Mantl b, D. Buca b, Q.T. Zhao

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

OPTIMIZATION OF ULTRA-THIN BODY, FULLY- DEPLETED-SOI DEVICE, WITH RAISED SOURCE/DRAIN OR RAISED EXTENSION

OPTIMIZATION OF ULTRA-THIN BODY, FULLY- DEPLETED-SOI DEVICE, WITH RAISED SOURCE/DRAIN OR RAISED EXTENSION OPTIMIZATION OF ULTRA-THIN BODY, FULLY- DEPLETED-SOI DEVICE, WITH RAISED SOURCE/DRAIN OR RAISED EXTENSION J. L. (Skip) Egley 1, Anne Vandooren 2, Brian Winstead 3, Eric Verret 3, Bruce White 2, Bich-Yen

More information

The Search for Negative Impact of 3D Cu TSVs

The Search for Negative Impact of 3D Cu TSVs The Search for Negative Impact of 3D Cu TSVs WIDEIO MEMORY TI 28nm Logic Fine-Pitch Cu Substrate upillar Joint TSV Joint Jeff West Advanced Technology Development Texas Instruments, Inc. Outline Introduction

More information

Conventional Bulk and Bulk+ Architectures for 45nm Node

Conventional Bulk and Bulk+ Architectures for 45nm Node Conventional Bulk and Bulk+ Architectures for 45nm Node Frederic Boeuf STMicroelectronics, 85 rue Jean-Monnet, 3896 Crolles, France Abstract We discuss the possibility of using bulk architecture for 45nm

More information

SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic

SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic J. Chbili,3, Z. Chbili,, A. Matsuda, J. P. Campbell, K. Matocha 4, K. P. Cheung * ) NIST, MD ) George Mason University, VA 3) Laboratoire SSC,

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

NAVAL POSTGRADUATE SCHOOL THESIS

NAVAL POSTGRADUATE SCHOOL THESIS NAVAL POSTGRADUATE SCOOL MONTEREY, CALIFORNIA TESIS INFRARED CARACTERIZATION OF SiN FILMS ON Si FOR IG SPEED ELECTRONICS APPLICATIONS by Galdino Mejia Tellez December 2004 Thesis Advisor: Co-advisor: Gamani

More information

The Journey to FinFETs

The Journey to FinFETs The Journey to FinFETs Alvin Loke 30-Apr-2015 Qualcomm Learning Center The 10000-Foot View A Switch small, fast, thrifty Scaling Performance Energy-Efficient Slide 1 CMOS Scaling Still Alive Intel 22nm

More information

REVIEW OF CURRENT STRAINED SILICON NANOSCALE MOSFET STRUCTURES

REVIEW OF CURRENT STRAINED SILICON NANOSCALE MOSFET STRUCTURES REVIEW OF CURRENT STRAINED SILICON NANOSCALE MOSFET STRUCTURES Amit CHAUDRY 1, Garima JOSHI 2, J. N. ROY 3, and D.N. SINGH 4 1,2, Panjab University, Chandigarh, India Ph:+91172-2541242, Email:amit_chaudhry01@yahoo.com

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

Measuring and modelling the mechanical stress transmitted by Silicon Nitride lines on Silicon substrates

Measuring and modelling the mechanical stress transmitted by Silicon Nitride lines on Silicon substrates Measuring and modelling the mechanical stress transmitted by Silicon Nitride lines on Silicon substrates P. Benzo, S. Reboh, M. J. Hÿtch, S. Schamm-Chardon, R. Cours and A. Claverie Groupe nmat, CEMES-CNRS

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

Prospect of Si Semiconductor Devices in Nanometer Era

Prospect of Si Semiconductor Devices in Nanometer Era Prospect of Si Semiconductor Devices in Nanometer Era 2 Prospect of Si Semiconductor Devices in Nanometer Era Shinichiro Kimura, Dr. Eng. Digh Hisamoto, Dr. Eng. Nobuyuki Sugii, Dr. Eng. OVERVIEW: Silicon

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

Chapter 18: Electrical Properties

Chapter 18: Electrical Properties Chapter 18: Electrical Properties What are the physical phenomena that distinguish conductors, semiconductors, and insulators? For metals, how is conductivity affected by imperfections, T, and deformation?

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project Feature-level Compensation & Control Workshop April 15, 2004 A UC Discovery Project 2 Diffusion in Silicon Germanium Alloys UC-DISCOVERY/ Workshop April 15, 2004 Hughes Silvestri, 1,2 Hartmut Bracht, 3

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Tests of R/C Beam-Column Joint with Variant Boundary Conditions and Irregular Details on Anchorage of Beam Bars

Tests of R/C Beam-Column Joint with Variant Boundary Conditions and Irregular Details on Anchorage of Beam Bars October 1-17, 8, Beijing, China Tests of R/C Beam-Column Joint with Variant Boundary Conditions and Irregular Details on Anchorage of Beam Bars F. Kusuhara 1 and H. Shiohara 1 Assistant Professor, Dept.

More information

Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device

Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device International Journal of Engineering & Technology IJET-IJENS Vol:09 No:10 72 Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device Fauziyah Salehuddin

More information

Piezoresistance in Silicon. Dr. Lynn Fuller

Piezoresistance in Silicon. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING in Silicon Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585)

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Tomoji Nakamura, Yoriko Mizushima, Young-suk Kim, Akira Uedono, and Takayuki Ohba Fujitsu Laboratories Ltd., University of Tsukuba Tokyo

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 02 MOS Transistors - I Hello and welcome to today

More information

Hafnium -based gate dielectrics for high performance logic CMOS applications

Hafnium -based gate dielectrics for high performance logic CMOS applications Hafnium -based gate dielectrics for high performance logic CMOS applications T. Kelwing*, M. Trentzsch, A. Naumann, B. Bayha, B. Trui, L. Herrmann, F. Graetsch, R. Carter, R. Stephan, P. Kuecher & W. Hansch

More information

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Packaging Effect on Reliability for Cu/Low k Damascene Structures* Packaging Effect on Reliability for Cu/Low k Damascene Structures* Guotao Wang and Paul S. Ho Laboratory of Interconnect & Packaging, TX 78712 * Work supported by SRC through the CAIST Program TRC 2003

More information

Making the Right Hand Turn to Power Efficient Computing

Making the Right Hand Turn to Power Efficient Computing Making the Right Hand Turn to Power Efficient Computing Justin Rattner Intel Fellow and Director Labs Intel Corporation 1 Outline Technology scaling Types of efficiency Making the right hand turn 2 Historic

More information

1 HRL Laboratories, LLC, Malibu, California, Baskin School of Engineering, University of California, Santa Cruz, CA *

1 HRL Laboratories, LLC, Malibu, California, Baskin School of Engineering, University of California, Santa Cruz, CA * High Cooling Power Density of SiGe/Si Superlattice Microcoolers Gehong Zeng, Xiaofeng Fan, Chris LaBounty, John E. Bowers, Edward Croke, James Christofferson, Daryoosh Vashaee, Yan Zhang, and Ali Shakouri

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

Carbon Nanotube Imperfection-Immune Digital VLSI

Carbon Nanotube Imperfection-Immune Digital VLSI Carbon Nanotube Imperfection-Immune Digital VLSI Subhasish Mitra Robust Systems Group Department of EE & Department of CS Stanford University H. Chen, J. Deng, A. Hazeghi, A. Lin, N. Patil, M. Shulaker,

More information

Chapter 18: Electrical Properties

Chapter 18: Electrical Properties Chapter 18: Electrical Properties ISSUES TO ADDRESS... How are electrical conductance and resistance characterized? What are the physical phenomena that distinguish conductors, semiconductors, and insulators?

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features

Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features Mostafizur Rahman, Santosh Khasanvis, Jiajun Shi, Mingyu Li, Csaba Andras Moritz* Electrical and Computer Engineering,

More information

A diffused silicon pressure transducer with stress concentrated at transverse gages. Technical paper 267

A diffused silicon pressure transducer with stress concentrated at transverse gages. Technical paper 267 A diffused silicon pressure transducer with stress concentrated at transverse gages Technical paper 267 A diffused silicon pressure transducer with stress concentrated at transverse gages Many Meggitt

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243

Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243 Reliability Challenges for 45nm and Beyond J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243 DAC 2006 1 2 Outline Transistor Performance with Scaling Gate Dielectric Scaling

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 1 NCCAVS Junction Technology Group SEMICON West 2015 Meeting July 16, 2015 Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 DEVICE PERFORMANCE

More information

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT JAN GENOE PUBLIC Every neuron in a human brain is connected via its synapses to 10-15.000 other neurons. Those connections can be over time reconfigured

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Gaurav Thareja Nishi Group, Electrical Engineering Stanford University ERC Tele-seminar

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

Charge-trap memories with high-k control dielectrics

Charge-trap memories with high-k control dielectrics Charge-trap memories with high-k control dielectrics Gabriel Molas CEA-LETI MINATEC Advanced Memory Technology gabriel.molas@cea.fr G. Molas Workshop on Innovative Memory Technologies June 24 th 2009 1

More information

Direct growth of III-V quantum dot materials on silicon

Direct growth of III-V quantum dot materials on silicon Direct growth of III-V quantum dot materials on silicon John Bowers, Alan Liu, Art Gossard Director, Institute for Energy Efficiency University of California, Santa Barbara http://optoelectronics.ece.ucsb.edu/

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Impact of the source/drain implants on threshold voltage matching in deep submicron CMOS technologies

Impact of the source/drain implants on threshold voltage matching in deep submicron CMOS technologies Impact of the source/drain implants on threshold voltage matching in deep submicron CMOS technologies Jerôme Dubois 1, Johan Knol 1, Hans Tuinhout 2, Jurriaan Schmitz 2, Peter Stolk 2 and Mike Bolt 1 1

More information

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET Conformal Doping for FinFETs by Self-Regulatory Plasma Doping Yuichiro Sasaki Katsumi Okashita Bunji Mizuno FETField Effect Transistor SRPD : Self-Regulatory Plasma Doping PD : Plasma Doping 11 %FETFET

More information

Defect Engineering in Advanced Devices on High-Mobility Substrates

Defect Engineering in Advanced Devices on High-Mobility Substrates Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium Outline Introduction Defect Studies Why important Challenges

More information

Atomic Level Material and Device Analysis for FinFET and Nanowire Design

Atomic Level Material and Device Analysis for FinFET and Nanowire Design Atomic Level Material and Device Analysis for FinFET and Nanowire Design Victor Moroz, Søren Smidstrup, Munkang Choi, and Alexei Svizhenko July 13, Junction Technologies User Group Meeting 2018 Synopsys,

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Dopant Engineering for Device Scaling

Dopant Engineering for Device Scaling Celebratingour 46th year JUNE 2003 THE INTERNATIONAL MAGAZINE FOR SEMICONDUCTOR MANUFACTURING Dopant Engineering for Device Scaling Integrating High-k Dielectrics Improving Topside A/R Coatings Real-time

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Bradley A. Minch Mixed Analog-Digital VLSI Circuits and Systems Lab Cornell University Ithaca, NY 14853 5401 minch@ece.cornell.edu

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

STUDY ON HIGH MOBILITY CHANNEL. TRANSISTORS FOR FUTURE SUB-10 nm CMOS TECHNOLOGY

STUDY ON HIGH MOBILITY CHANNEL. TRANSISTORS FOR FUTURE SUB-10 nm CMOS TECHNOLOGY STUDY ON HIGH MOBILITY CHANNEL TRANSISTORS FOR FUTURE SUB-10 nm CMOS TECHNOLOGY FEI GAO NATIONAL UNIVERSITY OF SINGAPORE 2007 STUDY ON HIGH MOBILITY CHANNEL TRANSISTORS FOR FUTURE SUB-10 nm CMOS TECHNOLOGY

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

Strained Silicon Layer in CMOS Technology

Strained Silicon Layer in CMOS Technology ELECTRONICS, VOL. 18, NO. 2, DECEMBER 2014 63 Strained Silicon Layer in CMOS Technology Tatjana Pešić-Brđanin, Branko L. Dokić Abstract Semiconductor industry is currently facing with the fact that conventional

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS Badgap Engineering: Precise Control of Emission Wavelength Wavelength Division Multiplexing Fiber Transmission Window Optical Amplification Spectrum Design and Fabrication of emitters and detectors Composition

More information

2D to 3D MOS Technology Evolution for Circuit Designers

2D to 3D MOS Technology Evolution for Circuit Designers 2D to 3D MOS Technology Evolution for Circuit Designers Alvin Loke 1, Ray Stephany 1, Andy Wei 2, Bich-Yen Nguyen 3, Tin Tin Wee 1, John Faricelli 1, Jung-Suk Goo 2, and Shawn Searles 1 1 Advanced Micro

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

Thin film silicon technology. Cosimo Gerardi 3SUN R&D Tech. Coordinator

Thin film silicon technology. Cosimo Gerardi 3SUN R&D Tech. Coordinator Thin film silicon technology Cosimo Gerardi 3SUN R&D Tech. Coordinator 1 Outline Why thin film Si? Advantages of Si thin film Si thin film vs. other thin film Hydrogenated amorphous silicon Energy gap

More information