Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application

Size: px
Start display at page:

Download "Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application"

Transcription

1 Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application YING-YING ZHANG, JUNG-DEUK BOK, SANG-UK PARK, BYOUNG-SOEK PARK, SE-KYUNG OH, HONG-SIK SHIN, HYUK-MIM KWON, IN-SHIK HAN, HI-DEOK LEE * Department of Electronics Engineering Chungnam National University Gung-Dong 220, Yuseong-Gu, Daejeon KOREA hdlee@cnu.ac.kr Abstract: - In this study, we tuned the Schottky barrier height of Ni germanide by using and incorporation into Ni germanide for high performance nano-scale Ge MOSFETs application. The results exhibited that the electron Schottky barrier height or work function of Ni germanide was increased about 30 mev and decreased about 90 mev by and incorporation, respectively. Hence, the proposed and incorporated Ni germanide structures are promising for high performance Ge pmosfets and nmosfets, respectively, due to the lower germanide to source/drain contact resistance. Key-Words: - Schottky barrier height, Ni germandie, incorporation, incorporation, high performance, Ge MOSFETs 1 Introduction Self-aligned silicidation (salicide) or self-aligned germanidation (salmanide) is one of key technologies in the state-of-art complementary metal oxide semiconductor field emitter transistor (CMOSFET) process to make ohmic or Schottky contact at source/drain and gate region [1]. Ni germanide is being considered as a promising salmanide material for Ge MOSFETs because of its advantages over other germanide materials, such as, low formation temperature, nickel monogermanide phase, and low sheet resistivity [2-3]. There are considerable efforts currently in the field to adjust Schottky barrier heights to reduce the contact resistance between the contact and the doped semiconductor. As a result, elements that bring the work function of the germanide/silicide closer to the band edge are studied extensively. Rare-earth elements such as and Er have work function closer to the conduction band while elements such as and Pt have work function closer to the valence band. Therefore, rare-earth metal germanides can exhibit low Schottky barrier heights to n-type devices and are therefore suitable for electrical contact formation in nmosfets either as ohmic contacts to n+ source/drain or even as Schottky source/drain, while or Pt element is suitable for pmosfets. In the silicide, there were a lot of studies on the decrease of the Schottky barrier height between Ni silicide and source/drain to improve the device performance by reducing the contact resistance, that is, incorporation of Pt or [4-6] and rare earth metals such as, ytterbium () [7-8], erbium (Er) [9], and terbium (Tb) [10] into Ni silicide can induce lower hole and electron SBH, respectively. In the germanide, there were also a lot of studies on the Schottky barrier of germanide on Ge substrate, such as Ti, Ni,, and Pt germanide, etc [11-17]. But there was little study on the comparison of different effect of or incorporation into Ni germanide on the work function change. In this paper, the tuning of the Schottky barrier height of Ni germanide was demonstrated by using and incorporation. We achieved 30 mev increase and 90 mev decrease of Schottky barrier height of Ni germanide for electron. 2 Experimental Details The process flow for experiments was shown in Fig. 1. Patterned n-type Ge-on-Si wafers were used for exact extraction of Schottky barrier height between Ni germanide and Ge substrate. After the residual oxide removal by dipping in 1% diluted HF solution, metals were deposited by using RF magnetron sputter system. The detailed splits of structures are split to /Ni/TiN, and /Ni/TiN. Pure Ni/TiN is also used for comparison. incorporation was used to increase electron barrier height and was used to decrease electron barrier height, respectively, therefore, to tune the work function of. Rapid thermal process (RTP) was carried out for germanidation for 30 sec. The un-reacted metals were ISSN: ISBN:

2 selectively etched off by using H 3 PO 4 solution at 150 o C for 30 sec. Diameter of Schottky diodes was split as 166, 119, 86, 56, and 42 µm. The I V relationship of Schottky diode was measured using HP4156C semiconductor analyzer. The uniformity of interface of Ni germanide was observed by using field emission scanning electron microscopy (FESEM, Model: S-4700, Maker: Hitach). n-type Ge-on-Si Substrate (Patterned) Residual Oxide Removal(1% HF, 10s) Metal Deposition: - Ni/TiN - /Ni/TiN - /Ni/TiN RTP for Germanidation ( 30sec ) Selective Wet Etching ( H 3PO o C, 30sec) I-V Measurement and Analysis Fig. 1. Process flow for experiments. 3 Results and Discussions Work Function n-ge 0 ev Fig. 3. Cross-sectional FESEM images of formed by (a) Ni/TiN, (b) /Ni/TiN, and (c) /Ni/TiN. The cross-sectional FESEM images of formed by Ni/TiN, /Ni/TiN, and /Ni/TiN structures are shown in Fig. 3. After RTP for germanidation, the uniform interface can be obtained from all structures ev n-ge 4.13 ev 4.63 ev 4.79 ev Ni 5.12 ev 5.15 ev Fig. 2. Sketch map of work function of metal and n-ge. The sketch map of work function of metal and n-ge was shown in Fig. 2. The electron affinity and band gap of Ge are 4.13 and 0.66 ev, respectively. The work function of pure,, and Ni is 2.60, 5.12, and 5.15 ev, respectively. The previous study reported that the work function of was about 4.63 ev [18]. has smaller work function than Ni, while has a similar work function with Ni. The work function of is larger than n-ge for the metal/n-ge contact, the contact is made via Schottky contact. The work function of was tuned by adding and into. Current Density [A/cm 2 ] n-ge_d=86µm Ni/TiN /Ni/TiN /Ni/TiN Voltage [V] Fig. 4. I-V curves of the /n-ge diodes formed by Ni/TiN, /Ni/TiN, and /Ni/TiN. Figure 4 shows I-V curves of the /n-ge diodes formed by Ni/TiN, /Ni/TiN, and /Ni/TiN structures. The extracted electron Schottky barrier height is shown in Fig. 5. The /Ni/TiN and /Ni/TiN structures show a lower and greater leakage current than pure Ni/TiN structure, respectively, possibly due to the increase and decrease of Schottky barrier height, respectively, as ISSN: ISBN:

3 shown in Fig. 5. The Schottky barrier height was extracted using the differentiation of I-V curve [19]. The results exhibit that the electron Schottky barrier height increased about 30 mev by and decreased about 90 mev by incorporation, which implies the same increase and decrease of the work function of Ni germanide. Hence, the proposed and incorporated structures are promising structures for high performance Ge pmosfets and nmosfets, respectively, due to the lower germanide to source/drain contact resistance. Electron Barrier Height [ev] Ni/TiN /Ni/TiN /Ni/TiN Diode Diameter [µm] Fig. 5. Extracted electron Schottky barrier height of using Ni/TiN, /Ni/TiN, and /Ni/TiN as a function of the diode diameter. Our previous studies shown in Fig. 6 and 7 explained the distribution of and atoms after germanidation, respectively. Figure 6 shows cross-sectional scanning transmission electron microscopy (STEM, model: D-2300A) Z-contrast image and corresponding STEM energy dispersive X-ray spectrometry (EDX) maps for Ge,, and Ni atoms for the /Ni/TiN structure [20]. Figure 7 shows secondary ion mass spectrometer (SIMS, model: Model: CAMECA IMS-6f) depth profile of for the /Ni/TiN structure [21]. atoms are piled up at the /Ge interface as well as at the surface region (Fig. 6), although atoms distribute throughout the germanide. The intensity of atoms at the /Ge interface region is several times greater than that at the center region of the film. Figure 7 also shows the existence of atoms at the surface region of. Moreover, there is an increase in elements at the interface of /Ge. This indicates that a large amount of and atoms are out-diffused to the surface region through the film during Ni germanidation. Such out-diffusion behavior could be explained by the difference in the surface tension of the elements and by the much greater reactivity of Ni than the other elements, which agrees well with previous studies. Fig. 6. Cross-sectional STEM Z-contrast image for /Ni/TiN structure. The inset shows the line depth profile of Ge,, and Ni ingredients [20]. Intensity (counts/sec) Ge Ni Sputter Depth (nm) Fig. 7. The SIMS depth profile of for /Ni/TiN. There are peaks of concentration both at the surface region of and interface of /Ge [21]. To investigate the mechanism of the adjustment of the work function, the energy band diagram of a layer formed by using and incorporation and n-type Ge before and after contact, respectively, is demonstrated in Fig. 8. According to the description shown in Fig. 6 and 7, we can get that and atoms are piled-up at /Ge interface as well as at the surface region in the /Ni/TiN and /Ni/TiN structures. Before contact, the Fermi level in n-type Ge and was above that in the pure layer, while Fermi level in was below that in the pure layer. After contact, the Fermi level becomes constant throughout the system in thermal equilibrium, and the vacuum band energies must be bended because of its continuous characteristics. Then, the work function of was increased and decreased by and, respectively, because the has higher work function and has lower work function as shown in Fig. 8(b). ISSN: ISBN:

4 (a) TiN (b) TiN layer layer Before Contact Increased by ~30 mev Decreased by ~90 mev n-ge After Contact Ge sub. Work Function 0 ev 2.60 ev 4.13 ev n-ge 4.63 ev 4.79 ev Ni 5.12 ev 5.15 ev n-ge Fig. 8. Energy band diagram of a layer formed by using and incorporation and n-type Ge (a) before and (b) after contact. 4 Conclusion Incorporation of and into Ni germanide is effective to tune the SBH for electron. It is shown that the incorporated and metals mainly distribute the /Ge interface as well as at the surface region, which results in the 30 mev increase and the 90 mev decrease of Schottky barrier height of Ni germanide by and incorporation, respectively. Therefore, reducing the contact resistance (or Schottky barrier height) between Ni germanide and source/drain using the and incorporation, is promising to improve the device performance of p-type and n-type nano-scale MOSFET, respectively. Acknowledgment This work was in part supported by grant No from the Korea Science and Engineering Foundation (KOSEF). This work was also financially supported by the Ministry of Knowledge Economy (MKE) and Korea Industrial Technology Foundation (KOTEF) through the Human Resource Training Project for Strategic Technology. References: [1] S. Wolf, Silicon processing for the VLSI era Volume 4-Deep-submicron prcess technology, pp , Lattice Press, [2] Q. Zhang, N. Wu, T. Osipowicz, L. K. Bear, and C. Zhu, Formation and thermal stability of Nickel germanide on germanium substrate, Jpn. J. Appl. Phys., Vol. 44, No. 45, 2005, pp. L1389-L1391. [3] S. L. Hsu, C. H. Chien, M. J. Yang, R. H. Huang, C. C. Leu, S. W. Shen, and T. H. Yang, Study of thermal stability of nickel monogermanide on singleand polycrystalline germanium substrates, Appl. Phys. Lett., Vol. 86, 2005, pp [4] L. E. Terry, and J. Saltich, Schottky barrier heights of nickel-platinum silicide contacts on n-type Si, Appl. Phys. Lett., Vol. 28, No. 4, 1976, pp [5] H. K. Liou, X. Wu, U. Gennser, V. P. Kesan, S. S. Lyer, K. N, Tu, and E. S. Yang, Interfacial reactions and Schottky barriers of Pt and on epitaxial Si 1-x Ge x alloys, Appl. Phys. Lett., Vol. 60, No. 5, 1992, pp [6] L. J. Jin, K. L. Pey, W. K. Choi, D. A. Antoniadis, E. A. Fitzgerald, and D. Z. Chi, Electrical characterization of platinum and palladium effects in nickel monosilicide/n-si Schottky contacts, Thin Solid Films, Vol. 504, 2006, pp [7] J. D. Chen, H. Y. Yu, M. F. Li, D. L. Kwong, M. J. H. van Dal, J. A. Kittl, A. Lauwers, P. Absil, M. Jurczak, and S. Biesemans, -doped Ni FUSI for the n-mosfets gate electrode application, IEEE Electron Device Lett., Vol. 27, No. 3, 2006, pp [8] W. J. Lee, D. W. Kim, S. Y. Oh, Y. J. Kim, Y. Y. Zhang, Z. Zhong, S. G. Li, S. Y. Jung, I. S. Han, T. K. Gu, T. S. Bae, G. W. Lee, J. S. Wang, and H. D. Lee, Work function variation of Nickel silicide using Ytterbium buffer layer for Schottky barrier MOSFET, J. Appl. Phys., Vol. 101, 2007, pp [9] W. Huang, Y. L. Min, G. P. Ru, Y. L. Jiang, X. P. Qu, and B. Z. Li, Effect of erbium interlayer on nickel silicide for formation on Si(100), Applied Surface Science, Vol. 254, 2008, pp [10] A. E. J. Lim, R. T. P. Lee, C. H. Tung, S. Tripathy, D. L. Kwong, and Y. C. Yeo, Full silicidation of silicon gate electrodes using Nickel-Terbium alloy for MOSFET applications, J. Electronchem. Soc., Vol. 153, No. 4, 2006, pp. G337-G340. [11] D. Han, Y. Wang, D. Tian, W. Wang, X. Liu, J. Kang, and R. Han, Studies of Ti- and Ni-germanide ISSN: ISBN:

5 Schottky contacts on n-ge (100) substrates, Microelectronic Engineering, Vol. 82, 2005, pp [12] E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R. L. Van Meirhaeghe, S. Forment, and P. Clauws, Deep level transient spectroscopy study of nickel-germanide Schottky barriers on n-type germanium, Appl. Phys. Lett., Vol. 88, 2006, pp [13] E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R. L. Van Meirhaeghe, and P. Clauws, Point-defect generation in Ni-, -, and Pt-germanide Schottky barriers on n-type germanium, J. Electronchem. Soc.,Vol. 154, No. 10, 2007, pp. H857-H861. [14] D. Ikeno, Y. Kaneko, H. Kondo, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima, Composition dependence of work function in metal (Ni, Pt) -germanide gate electrodes, Jpn. J. Appl. Phys., Vol. 46, No. 4B, 2007, pp [15] R. Li, S. J. Lee, H. B. Yao, D. Z. Chi, M. B. Yu, and D. L. Kwong, Pt-germanide Schottky source/drain germanium p-mosfet with HfO 2 gate dielectric and TaN gate electrode, IEEE Elecron Device Lett., Vol. 27, No. 6, 2006, pp [16] K. Ikeda, T. Maeda, and S. Takagi, Characterization of platinum germanide/ge (100) Schottky barrier height for Ge channel metal source/drain MOSFET, Thin Solid Fims, Vol. 508, 2006, pp [17] H. B. Yao, D. Z. Chi, R. Li, S. J. Lee, D. L. Kwong, Effect of the inversion layer on the electrical characterization of Pt germanide/n-ge (001) Schottky contacts, Appl. Phys. Lett., Vol. 89, 2006, pp [18]S. Zhu, R. Li, S. J. Lee, M. F. Li, A. Du, J. Singh, C. Zhu, A. Chin, and D. L. Kwong, Germanium pmosfets with Schottky barrier germanide S/D, high-k gate dielectric and metal gate, IEEE Trans. Electron Devices, Vol. 26, No. 2, 2005, pp [19]Y. L. Jiang, G. P. Ru, X. P. Qu, and B. Z. Li, Oxidation suppression for Si 2-x formation and new method to extract Schottky barrier height by admittance measurement, in Ext. Abstr. of the 7 th International Workshop on Junction Technology (IWJT), 2007, pp [20]Y. Y. Zhang, C. J. Choi, J. Oh, I. S. Han, S. G. Li, K. Y. Park, H. S. Shin, G. W. Lee, J. S. Wang, P. Majhi, R. Jammy, and H. D. Lee, Micro-structural innovation of Ni germanide on Ge-on-Si substrate by using Palladium incorporation, Electrochem. Solid State Lett., Vol. 12, No.11, 2009, pp.h402-h404. [21]Y. Y. Zhang, J. Oh, S. G. Li, S. Y. Jung, K. Y. Park, H. S. Shin, G. W. Lee, J. S. Wang, P. Majhi, H. H. Tseng, R. Jammy, T. S. Bae and H. D. Lee, Ni germanide utilizing Ytterbium interlayer for high performance Ge MOSFETs, Electrochem. Solid State Lett., Vol. 12, No.1, 2009, pp.h18-h20. ISSN: ISBN:

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 217 ISSN(Print) 1598-1657 https://doi.org/.5573/jsts.217.17.2.277 ISSN(Online) 2233-4866 A Study on Thermal Stability Improvement in

More information

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI Journal of the Korean Physical Society, Vol. 49, December 2006, pp. S795 S799 Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing

More information

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.041 A Study of the Dependence of Effective Schottky Barrier Height in Ni Silicide/n-Si

More information

Reducing Contact Resistance Between Ni-InGaAs and n-in 0.53 Ga 0.47 As using Sn Interlayer in n-in 0.53 Ga 0.47 As MOSFETs

Reducing Contact Resistance Between Ni-InGaAs and n-in 0.53 Ga 0.47 As using Sn Interlayer in n-in 0.53 Ga 0.47 As MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.3, JUNE, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.3.301 ISSN(Online) 2233-4866 Reducing Contact Resistance Between and

More information

Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide

Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide L. J. Jin, 1 K. L. Pey, 1, 2 W. K. Choi, 1, 3 E. A. Fitzgerald, 1, 4 D. A. Antoniadis, 1, 4 and D. Z. Chi 5 1 Singapore-MIT Alliance,

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications

Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications 10 MOONGYU JANG et al : SCHOTTKY BARRIER MOSFETS WITH HIGH CURRENT DRIVABILITY FOR NANO-REGIME Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications Moongyu Jang*, Yarkyeon

More information

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics METAL OXIDE SEMICONDUCTOR (MOS) DEVICES Term Paper Topic: Hafnium-based High-K Gate Dielectrics AUTHOR KYAWTHETLATT Content 1. High-k Gate Dielectric introduction 3 2. Brief history of high-k dielectric

More information

Role of interface reaction on resistive switching of Metal/a-TiO 2 /Al RRAM devices

Role of interface reaction on resistive switching of Metal/a-TiO 2 /Al RRAM devices Role of interface reaction on resistive switching of Metal/a-TiO /Al RRAM devices Hu Young Jeong and Jeong Yong Lee a) Department of Materials Science and Engineering, KAIST, Daejeon 0-01, Korea Sung-Yool

More information

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Solid-State Electronics 48 (2004) 1987 1992 www.elsevier.com/locate/sse Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Shiyang Zhu

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi 1 x Ge x films

Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi 1 x Ge x films Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi 1 x Ge x films H. B. Yao, M. Bouville, and D. Z. Chi, * Institute of Materials Research and Engineering, 3

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM Integrated Ferroelectrics, 84: 169 177, 2006 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580601085750 High Density Plasma Etching of IrRu Thin Films

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Shiyang Zhu and Anri Nakajima Reserach Center for Nanodevices and Systems, Hiroshima University, 1-4-2

More information

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax:

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax: 10.1149/1.3700903 The Electrochemical Society Impact of High-κ TaO x Thickness on the Switching Mechanism of Resistive Memory Device Using IrO x /TaO x /WO x /W Structure A. Prakash a, S. Maikap a,*, W.

More information

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process 222 nd ECS Meeting A Proposal of Schottky arrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process Y. Tamura 1, R. Yoshihara 1, K. Kakushima 2, P. Ahmet 1, Y. Kataoka 2,

More information

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING International Journal of Nanoscience Vol. 10, Nos. 1 & 2 (2011) 13 17 #.c World Scienti c Publishing Company DOI: 10.1142/S0219581X11007594 EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties

Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties Yiqun Liu 1)*, Min Xu 2), Jaeyeong Heo 1), Peide D. Ye 2), and Roy G. Gordon 1)** 1) Department of Chemistry and

More information

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN Li-Chien Chen et al.: The Effect of Heat Treatment on Ni/Au Ohmic Contacts 773 phys. stat. sol. (a) 176, 773 (1999) Subject classification: 73.40.Cg; S7.14 The Effect of Heat Treatment on Ni/Au Ohmic Contacts

More information

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions Journal of the Korean Physical Society, Vol. 47, No. 3, September 2005, pp. 501 507 Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2017 SUPPLEMENTARY INFORMATION A Functional Micro-Solid Oxide Fuel Cell with

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

1. Introduction. 2. Experiments. Paper

1. Introduction. 2. Experiments. Paper Paper Novel Method of Improving Electrical Properties of Thin PECVD Oxide Films by Fluorination of Silicon Surface Region by RIE in RF CF 4 Plasma Małgorzata Kalisz, Grzegorz Głuszko, and Romuald B. Beck

More information

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers Munsik Oh and Hyunsoo Kim * School of Semiconductor and Chemical Engineering and Semiconductor

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

SiC high voltage device development

SiC high voltage device development SiC high voltage device development 2006. 11. 30 KERI Power Semiconductor Group outline 1. Device design & simulation for power devices 2. SiC power diode process development Ion implantation & activation

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Processing and Defect Control in Advanced Ge Technologies

Processing and Defect Control in Advanced Ge Technologies Processing and Defect Control in Advanced Ge Technologies C. Claeys* IMEC, Kapeldreef 75, 3001 Leuven, Belgium *Also E.E. Dept, KU Leuven, Kasteelpark Arenberg 10, 3001 Leuven, Belgium Outline Introduction/Motivation

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO Effect of Post-Deposition Treatment on Characteristics of P-channel SnO Thin-Film Transistors 1 Byeong-Jun Song, 2 Ho-Nyeon Lee 1, First Author Department of Electric & Robotics Engineering, Soonchunhyang

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 4, pp. 207-212, August 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.4.207 Correlation

More information

CURRICULUM VITAE. Moon Hyung Jang

CURRICULUM VITAE. Moon Hyung Jang CURRICULUM VITAE Moon Hyung Jang Institute of Physics and Applied Physics, Yonsei University 134 Sinchon-dong, Seodaemoon-Gu, Seoul 120-749, KOREA Tel : 82-10-9822-7246, Fax : 82-2-392-1592 E-mail : ppicsari@yonsei.ac.kr

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

Making III-V contact with silicon substrates

Making III-V contact with silicon substrates 106Technology focus: III-Vs on silicon Making III-V contact with silicon substrates High-speed logic, high-frequency/high-power transistors and photonics systems could benefit from marrying with silicon

More information

Deposited by Sputtering of Sn and SnO 2

Deposited by Sputtering of Sn and SnO 2 Journal of the Korean Ceramic Society Vol. 49, No. 5, pp. 448~453, 2012. http://dx.doi.org/10.4191/kcers.2012.49.5.448 Comparative Study of Nitrogen Incorporated SnO 2 Deposited by Sputtering of Sn and

More information

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts Direct contacts between aluminum alloys and thin film transistors (TFTs) contact layers were studied. An Al-Ni alloy was found to be contacted directly with an indium tin oxide (ITO) layer successfully

More information

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

Ultra Low Resistance Ohmic Contacts to InGaAs/InP Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C. Gossard ECE and Materials Departments University of California,

More information

Field-Effect Transistor with Deposited Graphite Thin Film. Research Institute of Electronics, Shizuoka University, Johoku, Naka-ku, Hamamatsu

Field-Effect Transistor with Deposited Graphite Thin Film. Research Institute of Electronics, Shizuoka University, Johoku, Naka-ku, Hamamatsu Field-Effect Transistor with Deposited Graphite Thin Film Hiroshi Inokawa *, Masao Nagase 1, Shigeru Hirono 2, Touichiro Goto 1, Hiroshi Yamaguchi 1, and Keiichi Torimitsu 1 Research Institute of Electronics,

More information

1.1 Research Background of Copper Metallization for GaAs-based

1.1 Research Background of Copper Metallization for GaAs-based Chapter 1 Introduction 1.1 Research Background of Copper Metallization for GaAs-based Devices In the past decades, GaAs ICs are generally used for military purposes, such as satellite, radar and etc. With

More information

Passivation of InAs and GaSb with novel high dielectrics

Passivation of InAs and GaSb with novel high dielectrics Passivation of InAs and GaSb with novel high dielectrics Professor Minghwei HONG Department of Materials Science and Engineering, National Tsing Hua University 101, Section 2, Kuang-Fu Rd., Hsinchu, Taiwan,

More information

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization So-Ra Park 1,2, Jae-Sang Ro 1 1 Department of Materials Science and Engineering, Hongik University, Seoul, 121-791, Korea 2 EnSilTech

More information

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003 Fabrication and Electrical Properties of Pure Phase Films B. G. Chae, D. H. Youn, H. T. Kim, S. Maeng, and K. Y. Kang Basic Research Laboratory, ETRI, Daejeon 305-350, Republic of Korea arxiv:cond-mat/0311616v2

More information

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water Philippe P. de Rouffignac, Roy G. Gordon Dept. of Chemistry,, Cambridge, MA gordon@chemistry.harvard.edu (617) 495-4017

More information

Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation Conditions

Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation Conditions Journal of the Korean Physical Society, Vol. 58, No. 5, May 2011, pp. 1169 1173 Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization Journal of Non-Crystalline Solids 299 302 (2002) 1321 1325 www.elsevier.com/locate/jnoncrysol Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Author Haasmann, Daniel, Dimitrijev, Sima, Han, Jisheng, Iacopi, Alan Published 214 Journal Title Materials Science Forum DOI https://doi.org/1.428/www.scientific.net/msf.778-78.627

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA 10.1149/1.2355716, copyright The Electrochemical Society Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric Daniel J. Lichtenwalner a, Jesse S. Jur a, Steven Novak b, Veena

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Review Literature for Mosfet Devices Using High- K

Review Literature for Mosfet Devices Using High- K Review Literature for Mosfet Devices Using High- K Prerna Teaching Associate, Deptt of E.C.E., G.J.U.S. &T., INDIA prernaa.29@gmail.com Abstract: With the advancement of MOS devices over 40 years ago,

More information

High Sensitivity and Low Power Consumption Gas Sensor Using MEMS Technology and Thick Sensing Film

High Sensitivity and Low Power Consumption Gas Sensor Using MEMS Technology and Thick Sensing Film Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1205 1209 High Sensitivity and Low Power Consumption Gas Sensor Using MEMS Technology and Thick Sensing Film Nak-Jin Choi, Jun-Hyuk

More information

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Japanese Journal of Applied Physics Vol. 46, No. 5B, 27, pp. 3234 3238 #27 The Japan Society of Applied Physics Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Banani

More information

CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition

CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition Hyperfine Interact (2006) 168:1065 1071 DOI 10.1007/s10751-006-9406-2 CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition K. Nomura & K. Inaba & S. Iio & T. Hitosugi

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

Effect of Ti/Cu Source/Drain on an Amorphous IGZO TFT Employing SiNx Passivation for Low Data-Line Resistance

Effect of Ti/Cu Source/Drain on an Amorphous IGZO TFT Employing SiNx Passivation for Low Data-Line Resistance Effect of Ti/Cu Source/Drain on an Amorphous IGZO TFT Employing SiNx Passivation for Low Data-Line Resistance Young Wook Lee, Sun-Jae Kim, Soo-Yeon Lee, Woo-Geun Lee, Kap-Soo Yoon, Jae-Woo Park, Jang-Yeon

More information

Process Temperature Dependence of Al 2 O 3 Film Deposited by Thermal ALD as a Passivation Layer for c-si Solar Cells

Process Temperature Dependence of Al 2 O 3 Film Deposited by Thermal ALD as a Passivation Layer for c-si Solar Cells JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.6, DECEMBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.6.581 Process Temperature Dependence of Al 2 O 3 Film Deposited by Thermal ALD as a

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

SUPPLEMENTARY INFORMATIONS

SUPPLEMENTARY INFORMATIONS SUPPLEMENTARY INFORMATIONS Dynamic Evolution of Conducting Nanofilament in Resistive Switching Memories Jui-Yuan Chen, Cheng-Lun Hsin,,, Chun-Wei Huang, Chung-Hua Chiu, Yu-Ting Huang, Su-Jien Lin, Wen-Wei

More information

This journal is The Royal Society of Chemistry S 1

This journal is The Royal Society of Chemistry S 1 2013 S 1 Thermochemical analysis on the growth of NiAl 2 O 4 rods Sang Sub Kim, a Yong Jung Kwon, b Gunju Sun, a Hyoun Woo Kim,* b and Ping Wu* c a Department of Materials Science and Engineering, Inha

More information

Corrosion Protect DLC Coating on Steel and Hastelloy

Corrosion Protect DLC Coating on Steel and Hastelloy Materials Transactions, Vol. 49, No. 6 (2008) pp. 1333 to 1337 #2008 The Japan Institute of Metals Corrosion Protect DLC Coating on Steel and Hastelloy Hironobu Miya and Jie Wang Semiconductor Equipment

More information

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting Vol 14 No 3, March 2005 cfl 2005 Chin. Phys. Soc. 1009-1963/2005/14(03)/0565-06 Chinese Physics and IOP Publishing Ltd Effect of the technology of implanting nitrogen into buried oxide on the radiation

More information

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Vivek Subramanian a, Krishna Saraswat a, Howard Hovagimian b, and John Mehlhaff b a Electrical

More information

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel 2014.08.18 final examination Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel Department of Electronics and Applied Physics Iwai/Kakushima

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

Supplementary Information

Supplementary Information Monitoring Oxygen Movement by Raman Spectroscopy of Resistive Random Access Memory with a Graphene-Inserted Electrode Supplementary Information He Tian, 1,2 Hong-Yu Chen, 3 Bin Gao, 3,4 Shimeng Yu, 3 Jiale

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Amorphous Er 2 O 3 films for antireflection coatings

Amorphous Er 2 O 3 films for antireflection coatings Amorphous Er 2 O 3 films for antireflection coatings Zhu Yan-Yan( 朱燕艳 ) a), Fang Ze-Bo( 方泽波 ) b), and Liu Yong-Sheng( 刘永生 ) a) a) Shanghai University of Electric Power, Shanghai 200090, China b) Department

More information

Antimony-based Quaternary Alloys for High-Speed Low-Power Electronic Devices

Antimony-based Quaternary Alloys for High-Speed Low-Power Electronic Devices Antimony-based Quaternary Alloys for High-Speed Low-Power Electronic Devices R. Magno * 1, B. R. Bennett 1, K. Ikossi 1, M. G. Ancona 1, E. R. Glaser 1, N. Papanicolaou 1, J. B. Boos 1, B. V. Shanabrook

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

1 HRL Laboratories, LLC, Malibu, California, Baskin School of Engineering, University of California, Santa Cruz, CA *

1 HRL Laboratories, LLC, Malibu, California, Baskin School of Engineering, University of California, Santa Cruz, CA * High Cooling Power Density of SiGe/Si Superlattice Microcoolers Gehong Zeng, Xiaofeng Fan, Chris LaBounty, John E. Bowers, Edward Croke, James Christofferson, Daryoosh Vashaee, Yan Zhang, and Ali Shakouri

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Scanning Transmission Electron Microscopy of Thin Oxides

Scanning Transmission Electron Microscopy of Thin Oxides Scanning Transmission Electron Microscopy of Thin Oxides Susanne Stemmer Materials Department University of California Santa Barbara Collaborators: Z. Chen, Y. Yang, D. Klenov (UCSB) W. J. Zhu, T.P. Ma

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition Nuclear Instruments and Methods in Physics Research B 206 (2003) 357 361 www.elsevier.com/locate/nimb Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted

More information

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Y. J. Chang, a) J. H. Oh, K. H. Kim, and Jin Jang b) Advanced Display Research

More information

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown Feb. 19 th, 2015 WIMNACT-45 Resistive switching of /SiO 2 stacked film based on anodic oxidation and breakdown K. Kakushima Tokyo Institute of Technology 1 Introduction to resistive RAM (RRAM) Reset OFF

More information

GROWTH ANALYSIS OF ELECTRODEPOSITED COPPER THIN FILM ON TUNGSTEN COATED SILICON SAMPLE

GROWTH ANALYSIS OF ELECTRODEPOSITED COPPER THIN FILM ON TUNGSTEN COATED SILICON SAMPLE Journal of Electron Devices, Vol. 16, 2012, pp. 1301-1305 JED [ISSN: 1682-3427 ] GROWTH ANALYSIS OF ELECTRODEPOSITED COPPER THIN FILM ON TUNGSTEN COATED SILICON SAMPLE Saurabh Kumar Pandey 1 and P. Ramshankar

More information