Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Size: px
Start display at page:

Download "Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering"

Transcription

1 Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew Metz, Willy Rachmady, Marko Radosavljevic, Uday Shah, Nancy Zelick and Robert Chau Contact Information: Intel Corporation Components Research Technology Manufacturing Group June 13 th, 2006

2 Outline Introduction Why Tri-Gate Trigate CMOS Fabrication Trigate Physics Electrostatics Parasitics High-k / Metal Gates Carrier Transport Trigate Performance Conclusions 2

3 Fully Depleted Transistor Structures Planar Single Gate (FDSOI) Planar Double-Gate Non Planar Tri-Gate Gate W Si LG Gate Source Drain Gate H Si 1. Ultra thin Tsi 2. Limited to SOI 1. Wider Tsi than planar 2. Non Self-aligned 1. FIN W Si is wider than planar T Si 2. Self-Aligned gates 3. Bulk-Si or SOI Fully depleted thin-body devices improve SCE performance. Tri-Gate is the most favorable architecture for L G scaling. 3

4 Tri-Gate CMOS Fabrication 1. Tri-Gate Critical Dimensions 2. FIN / Channel profile engineering 3. Poly / Metal Gate / High-k Stack Etch 4. 3-D Spacer formation 5. Dual Epitaxial raised Source/Drains 4

5 Tri-Gate Critical Dimensions L G W eff X UD Metal Gate High - k N+ N+ L eff BOX Side Gate Top Gate High-k High-k W Si High-k Side Gate STI STI H Si I DSAT is normalized by Z T = W Si + 2*H Si Tri-gate electrostatics strongly depend on the ratio of L eff / W eff as defined by: L eff = L G 2 * X UD W eff = W Si + 2(ε Si / ε OX )*T OX 5

6 Tri-Gate FIN Critical Dimensions HfO 2 High-k Dielectric Near Mid-Gap Metal Gate CVD Poly W Si H Si Si FIN Z Total 6

7 FIN Profile Optimization W Si SOI Stringer Notched FIN Narrowing FIN W Si - Better SCEs Yield Impact - Poly / MG stringers W Si SOI Gate Bulk Si Tapered FIN FIN widens - Degraded SCEs SOI Bulk Si Gate Vertical FIN Ideal Improved SCEs No additional process complexity 7

8 3-D Poly/Metal Gate Stack Etch Challenge: Significant over-etch required to clear the Poly/Metal spacers on the FIN sidewall GATE Flared FIN Isolation GATE L G GATE Notched FIN GATE L G Selectivity Loss GATE FIN Damage GATE Etch Charging, Micro-Loading lead to variable L G Selectivity Loss during over-etch damages Si-FIN 8

9 3-D Poly/Metal Gate Stack Etch Nested FIN & Gate Array Gates 3-D Gate Profiles Vertical Gates FINs GATE FIN Isolation GATE Careful optimization of dry and wet etch modules has produced 3-D gates with no L G variation or FIN loss. 9

10 3-D Spacer Formation Challenge: Significant over-etch required to clear the offset spacer on the FIN sidewall for epi-raised S/D growth Spacers Gates Gate FIN FINs Gate FIN FIN Spacer Blocks Raised S/D Epi Growth which will Increase R EXT Standard Dry Spacer Over-etch Is non-uniform & leaves behind Spacer Stringers FIN Spacer Removed! For a 2:1 Gate:FIN ratio we optimize the etch to remove the FIN spacer 10

11 3-D Spacer Formation Gate EPI Gate Gate Epi Growth Si FIN FIN Spacer STI Si FINs Epi Growth Blocked by the FIN Spacers Spacers completely removed allowing for epitaxial raised S/D formation 11

12 Dual Epitaxial Raised S/D Blanket Epitaxial Si Raised S/D Growth HM Poly Selective Undercut Etch PMOS regions HM Poly In-Situ doped p + SiGe Epitaxy HM Poly Si FIN Si FIN SiGe FIN SiGe NMOS PMOS PMOS T UC Raised Si Epitaxy Undercut Etch p + SiGe EPi 12

13 Tri-Gate Physics 1. Trigate Electrostatics L G Scaling FIN Profile FIN Doping 2. Parasitics FIN aspect ratio R EXT Corner Device suppression 3. Carrier Transport <001> vs. <011> mobility Process Induced Strain 13

14 Impact of Fin Profile SS SAT [mv/decade] Vertical Fin Tapered Fin DIBL [mv/v] Vertical Fin Tapered Fin L eff / W eff L eff / W eff 45% FIN Taper Vertical FIN Rectangular Fin profile 24.8nm FIN 34.3nm 28.8nm improves SCEs for L G scaling: FIN 27.9nm Lowers S SAT Lowers DIBL 14

15 FIN Doping & L G Scaling SS SAT [mv/decade] FIN dopant Profile Optimization DIBL [mv/v] FIN dopant Profile Optimization L eff / W eff L eff / W eff High-k with near mid-gap workfunction metal gates enable lower dopant values for targeting V T 3-D dopant profile optimization further improves SCE s S and DIBL 15

16 Tri-Gate R EXT : Fin Aspect Ratio 1 Hsi = 26nm W Si Normalized R DSLin Hsi = 30nm Hsi = 40nm Hsi = 50nm H Si STI Si FIN body STI Bulk Si substrate Leff (µm) Z T = W Si + 2*H Si For a given W Si increasing H Si will lower R EXT as the larger FIN/channel x-section improves current flow 16

17 Equivalent Tri-Gate on Bulk and SOI SS SAT [mv/decade] Tri-Gate on Bulk Tri-Gate on SOI DIBL [mv/v] Tri-Gate on Bulk Tri-Gate on SOI Leff [µm] Leff [µm] Trigate on Bulk-silicon and SOI substrates have similar short channel performance. 17

18 FIN Corner Rounding Tapered FIN R C =5.2nm Decreasing Corner Radius Vertical FIN R C =4.8nm Notched FIN R C =4.2nm Does FIN Corner impact SCE? Is Tri-Gate Corner Dominated? 18

19 Tri-gate a Corner Device? High FIN doping Na=1e19 cm -3 Corner Charge (electrons/um) 1E+04 1E+03 1E+02 1E+01 1E+00 1E-01 1E-02 1E-03 1E-04 1E-05 1E-06 Rc=0nm Qc/Qt Rc=2nm Qc/Qt Rc=4nm Qcorner/Qtotal Intel TCAD V Vg G (V) (V) 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% % Charge in Corner Even for high FIN N A = 1x10 19 cm -3 an Rc 4nm reduces the corner transistor turn-on. Charge in Corner 19

20 Tri-gate a Corner Device? Perfect square corner (R C =0nm) Total Total # of Electrons (/um) (/um) 1.E+05 1.E+04 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 Na=1e19, Qcorner/Qtotal 3e18, Qc/Qt 1e18, Qc/Qt 3e17, Qc/Qt 1e17 Qc/Qt 1.E Intel TCAD V G (V) Vg (V) 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% High-k dielectrics & mid-gap metal gates enable lower FIN doping resulting in volume inversion and hence No corner effect 0% % Charge in Corner 20

21 Corner Transistor Signature Poly/SiO 2 case: High N A High-k / MG case: Low N A Electron density at V G =0.2V Electron density at V G =0.2V Corner transistor is revealed at high body doping N A The Hi-k/Metal Gate enables low body doping suppressing corner transistor turn-on. 21

22 Tri-Gate Carrier Transport 22

23 NMOS Tri-Gate Mobility (Long Channel) Tri-Gate Low doping Volume inversion (110) Sidewall Universal Mobility Planar (100) Low Doping Impurity scattering Planar (100) High Doping 40% long channel mobility improvement comes from low body doping in Tri-Gate at low to moderate vertical fields Minimal mobility degradation due to <110> sidewall and surface roughness scattering 23

24 PMOS Long Tri-Gate Channel Mobility Tri-Gate (Long : Holes Channel) Tri-Gate Low doping Volume Inversion 110 Sidewall Universal Mobility 2X Planar (100) Low Doping Planar (100)-High Doping Low doping and the <110> sidewall surface leads to over 2x increase in hole mobility 24

25 Tri-Gate Performance (110) Sidewall vs. 45 Rotated (100) NMOS Tri-Gate 45 Rotated <100> Sidewall PMOS 45 Rotated <100> Sidewall Tri-Gate Tri-Gate <110> Sidewall SOI -35% SOI Tri-Gate <110> Sidewall Hybrid (45 - rotated) orientation substrates not needed for high performance CMOS Tri-Gates. 25

26 NMOS Tensile Nitride Film Stress Compressive S YY <100> Tensile S ZZ <110> Tensile S XX <110> Current Flow Gate Stress (MPa) Tensile Channel Stress Compressive S XX S ZZ S YY Tensile Nitride Film (nm) S XX & S YY scale with nitride thickness, S ZZ is invariant S XX tensile, S YY compressive, & S ZZ slightly tensile 26

27 Tri-Gate NMOS Mobility vs. Strain % Mobility Gain 30% 20% 10% 0% -10% -20% -30% Surface Normal S YY Current Direction S XX Compressive Tensile In-Plane S ZZ Stress (MPa) FIN Top Normal <001> S XX : Tensile Current S YY : Compr. Normal S ZZ : Tensile In-Plane S ZZ <110> <110> S XX S YY <001> All tensile film stresses improve NMOS Tri-Gate µ. Compressive S YY stress has strongest impact on µ. 27

28 Tri-Gate NMOS Mobility vs. Strain % Mobility Gain. 30% 20% 10% 0% -10% -20% -30% In-Plane S YY Current Direction S XX Compressive Tensile Surface Normal S ZZ Stress (MPa) FIN Sidewall Norm. <110> S XX : Tensile Current S YY : Compr. In-plane S ZZ : Tensile Normal S ZZ <110> <110> S XX S YY <001> All tensile film stresses improve NMOS Tri-Gate µ. Compressive S YY stress has strongest impact on µ. 28

29 Short Channel Tri-Gate NMOS Mobility vs. Film Stress Normalized Mobility Tensile Neutral V G -V T [V] Tensile nitride film stress significantly enhances short channel electron µ Mobility vs. W Si (Tensile) Normalized Mobility W Si = 25nm W Si = 35nm Q INV (10 13 cm -2 ) Tensile nitride film stress and electron µ increase as the FIN W Si decreases 29

30 Short Channel Tri-Gate PMOS Normalized R DS-Lin Raised SiGe S/D Embedded SiGe S/D with Undercut Etch L eff [nm] Embedded SiGe S/D Raised SiGe S/D Embedding the p + SiGe S/D regions with under-cut etch provides 40% lower R DSLIN Uniaxial compressive strain is observed in short-channel Tri-Gate 30

31 Short Channel Tri-Gate PMOS Ioff (A/µm) 1E-05 1E-06 1E-07 1E-08 1E-09 1E-10 1E-11 1E-12 Raised SiGe S/D 15% Embedded p + SiGe I DSAT (ma/µm) IOFF (A/µm) 1E-05 1E-06 1E-07 1E-08 1E-09 1E-10 1E-11 1E-12 Embedded Raised SiGe S/D SiGe S/D 40% Raised SiGe S/D Embedded p + SiGe I Dlin (ma/µm) Embedding the p + SiGe S/D regions with an undercut etch provides a 15% I DSAT & 40% I DLIN benefit. 31

32 IOFF [A/µm] 1E-04 1E-05 1E-06 1E-07 1E-08 1E-09 1E-10 Industry Leading Performance NMOS Planar 65nm IEDM % I DSAT [ma/µm] Tri-Gate High-k/MG IOFF (A/µm) 1E-05 Planar 65nm 1E-06 IEDM E-07 1E-08 1E-09 1E-10 1E-11 1E-12 Integrated CMOS Tri-Gate with: PMOS 60% 1. High-k dielectrics & metal gate 2. Strain engineering for NMOS & PMOS 3. Dual epitaxial raised source/drains Tri-Gate High-k/MG I DSAT (ma/µm) 32

33 Integrated Tri-Gate CMOS NMOS PMOS SRAM SRAM Cell IREAD (a.u.) 1.5X Planar Trigate Z Total Demonstrated functional Tri-gate SRAM cells For equivalent cell size Tri-Gate SRAM cell shows 1.5x higher cell I READ due to higher Z T =2*H Si +W Si 33

34 Conclusions 1. Highly scalable Tri-Gate architecture with excellent short channel effects and record performance. 2. Bulk-Si Tri-Gate demonstrates equivalent scaling and performance to SOI Tri-Gate. 3. High-k/Metal Gate, corner rounding and low doping eliminate any parasitic corner device turn-on. 4. Tri-Gate PMOS mobility shows 2x enhancement due to <110> sidewalls over <100> planar devices while NMOS is neutral. 5. Functional Tri-Gate SRAM cell demonstrated with 1.5X the cell read current due to the increase in Z Total per cell footprint. 34

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P.

More information

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs

Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs Strain Engineering for Performance Enhancement in Advanced Nano Scaled SOI-MOSFETs S. Flachowsky a), R. Illgen a), T. Herrmann a), A. Wei b), J. Höntschel b), M. Horstmann b), W. Klix a), and R. Stenzel

More information

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance 6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance techniques have been developed to strain the Si in the MOSFET channel, in order to enhance carrier mobility and current drive some of

More information

Strain for CMOS performance Improvement

Strain for CMOS performance Improvement IBM Corporation Strain for CMOS performance Improvement +Victor Chan, +Ken Rim, #Meikei Ieong, +Sam Yang, +Rajeev Malik, Young Way Teh, #Min Yang, #Qiqing (Christine) Ouyang +IBM Systems & Technology Group,

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

OPTIMIZATION OF ULTRA-THIN BODY, FULLY- DEPLETED-SOI DEVICE, WITH RAISED SOURCE/DRAIN OR RAISED EXTENSION

OPTIMIZATION OF ULTRA-THIN BODY, FULLY- DEPLETED-SOI DEVICE, WITH RAISED SOURCE/DRAIN OR RAISED EXTENSION OPTIMIZATION OF ULTRA-THIN BODY, FULLY- DEPLETED-SOI DEVICE, WITH RAISED SOURCE/DRAIN OR RAISED EXTENSION J. L. (Skip) Egley 1, Anne Vandooren 2, Brian Winstead 3, Eric Verret 3, Bruce White 2, Bich-Yen

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

Strained Silicon-On-Insulator Fabrication and Characterization

Strained Silicon-On-Insulator Fabrication and Characterization 10.1149/1.2728880, The Electrochemical Society Strained Silicon-On-Insulator Fabrication and Characterization M. Reiche a, C. Himcinschi a, U. Gösele a, S. Christiansen a, S. Mantl b, D. Buca b, Q.T. Zhao

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device

Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device International Journal of Engineering & Technology IJET-IJENS Vol:09 No:10 72 Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device Fauziyah Salehuddin

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

Characterization and Fabrication of 90nm Strained Silicon PMOS using TCAD

Characterization and Fabrication of 90nm Strained Silicon PMOS using TCAD Characterization and Fabrication of 90nm Strained Silicon PMOS using TCAD M. A. Abd Hamid and F. Sulaiman, Member, IEEE Abstract The paper focuses on the enhancement of conventional 90nm PMOS using graded

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

The Journey to FinFETs

The Journey to FinFETs The Journey to FinFETs Alvin Loke 30-Apr-2015 Qualcomm Learning Center The 10000-Foot View A Switch small, fast, thrifty Scaling Performance Energy-Efficient Slide 1 CMOS Scaling Still Alive Intel 22nm

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Measuring and modelling the mechanical stress transmitted by Silicon Nitride lines on Silicon substrates

Measuring and modelling the mechanical stress transmitted by Silicon Nitride lines on Silicon substrates Measuring and modelling the mechanical stress transmitted by Silicon Nitride lines on Silicon substrates P. Benzo, S. Reboh, M. J. Hÿtch, S. Schamm-Chardon, R. Cours and A. Claverie Groupe nmat, CEMES-CNRS

More information

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Michael Aquilino M.S. Thesis Defense Department May 19, 2006 Motivation o Enable the department to continue

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Dopant Engineering for Device Scaling

Dopant Engineering for Device Scaling Celebratingour 46th year JUNE 2003 THE INTERNATIONAL MAGAZINE FOR SEMICONDUCTOR MANUFACTURING Dopant Engineering for Device Scaling Integrating High-k Dielectrics Improving Topside A/R Coatings Real-time

More information

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone! -Spring 006 Digital Integrated Circuits Lecture 4 CMOS Manufacturing Process Design Rules EECS141 1 Good News! We are moving to 155 Donner Lab From Thursday, Feb We will be able to accommodate everyone!

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information

Prospect of Si Semiconductor Devices in Nanometer Era

Prospect of Si Semiconductor Devices in Nanometer Era Prospect of Si Semiconductor Devices in Nanometer Era 2 Prospect of Si Semiconductor Devices in Nanometer Era Shinichiro Kimura, Dr. Eng. Digh Hisamoto, Dr. Eng. Nobuyuki Sugii, Dr. Eng. OVERVIEW: Silicon

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Poly-SiGe MEMS actuators for adaptive optics

Poly-SiGe MEMS actuators for adaptive optics Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator

More information

SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA

SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA NATIONAL UNIVERSITY OF SINGAPORE 2010 SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Construction Analysis Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Report Number: SCA 9412-394 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE CMOS TECHNOLOGY- Chapter 2 in the Text 1 EE 212 FALL 1999-00 CMOS TECHOLOGY- Chapter 2 in the Text In this set of notes we will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

DEC SA-110S StrongARM 32-Bit Microprocessor

DEC SA-110S StrongARM 32-Bit Microprocessor Construction Analysis DEC SA-110S StrongARM 32-Bit Microprocessor Report Number: SCA 9704-535 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Characterization of Dopant Diffusion in Bulk and lower dimensional Silicon Structures. Coumba Ndoye

Characterization of Dopant Diffusion in Bulk and lower dimensional Silicon Structures. Coumba Ndoye Characterization of Dopant Diffusion in Bulk and lower dimensional Silicon Structures Coumba Ndoye Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

32nm Low Standby Power NMOS Fabrication Simulation

32nm Low Standby Power NMOS Fabrication Simulation 32nm Low Standby Power NMOS Fabrication Simulation 14:332:468 Microelectronics Processing by Bryan De La Torre badlt@eden.rutgers.edu Rohan Pundlik rpundlik@eden.rutgers.edu Advisor: Prof. Jaeseok Jeon

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 6 CMOS Fabrication Process & Design Rules guntzel@inf.ufsc.br

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Construction Analysis Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Report Number: SCA 9703-499 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Manufacturing Process

Manufacturing Process CMOS Manufacturing Process CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten SiO n+ p-well p-epi poly n-well p+ SiO p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V DD

More information