Characterization of thin Gd 2 O 3 magnetron sputtered layers

Size: px
Start display at page:

Download "Characterization of thin Gd 2 O 3 magnetron sputtered layers"

Transcription

1 Characterization of thin Gd 2 O 3 magnetron sputtered layers Jacek Gryglewicz * a, Piotr Firek b, Jakub Jaśiński b, Robert Mroczyński b, Jan Szmidt b a Wroclaw University of Technology, Janiszewskiego 11/17, Wroclaw, ; b Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, Warsaw, ABSTRACT Reactive magnetron sputtering technique using O 2 /Ar gas mixture was used to deposit Gd 2 O 3 layers. Following metallization process of Al allowed to create MIS structures, which electrical parameters (κ, D it, U FB, ρ, etc.) were measured using high frequency C-V equipment. Created layers exhibit high permittivity (κ 12) at 100kHz. I-V measurements point out on maximum electric break down field E br 0.4 MV/cm and maximum break down voltage U br 16V. Layers were morphologically tested using AFM technique (R a 0.5 2nm). Layer thicknesses as well as refractive indexes (RI ) were estimated using ellipsometry measurements. Keywords: magnetron sputtering, Gd 2 O 3 1. INTRODUCTION Continuous scaling of device dimensions in CMOS technology force the replacement of the conventional silicon dioxide layers with higher permittivity (high-κ) materials 1,2 where gate dielectric equivalent thickness is much more promising. In recent years oxides containing rare earth materials are subject to intensive studies. Their main characteristics include good thermodynamic stability on Si and high conduction band offset exceeding 2 ev. Among currently examined high-κ materials (Y 2 O 3 κ=18 [3], Ta 2 O 3 κ= 26, HfO 2 κ=25, ZrO 2 κ=25 [2], etc.) gadolinium oxide has promising features including gate dielectric application. It exhibits relatively high permittivity (ca. 14 [4] ), a wide band gap (E g = 5.4 ev) and high band offsets with respect to silicon (ΔE c =3.2, ΔE v =3.9). Crystalline Gd 2 O 3 thin films usually form cubic structures 1, but there are also bixbyite and monoclinic structures 5. However, magnetron sputtered layers very seldom occurs in that crystalline structures. The most probable dominant crystalline structure for magnetron sputtered layers is amourphous (phase). 2. EXPERIMENT In this work thin gadolinium oxide was reactively magnetron sputtered on p-type (ρ = 6 8 Ωcm) Si <100> substrates. Gases used in the process are mixture of argon and oxygen at room temperature. T processes were planned on the basis of one dimensional optimization method using orthogonal matrix L with four input deposition parameters parameters. The list of process conditions is presented in Tab. 1. In order to investigate the thickness of deposited layers, ellipsometric measurements were performed on test structures. On dielectric 1mm diameter aluminum pads (S = 7, cm 2 ) were deposited using magnetron sputtering at room temperature. Created MIS structures were then measured using high-frequency (100 khz) C-V system. Current-voltage characteristics (I-V) were also measured to investigate brake-down field and voltage. Deposited layers were characterized using scanning electron microscopy (SEM) and atomic force microscopy (AFM) working in tapping mode. The influence of process parameters under investigation on permittivity, resistivity, optical features and morphology is presented and discussed. 3. RESULTS 3.1 Optical and surface characteristics In order to examine basic layer parameters, the atomic force microscopy images were used to calculate surface roughness parameters. Ellipsometry measurement was performed to measure refractive index of deposited layer and thickness of MIS structures. According to process set presented in Tab. 1, average function of thickness, refractive index and surface roughness (R a ) were calculated. Variation of average functions is presented in Fig. 1. Electron Technology Conference 2013, edited by Pawel Szczepanski, Ryszard Kisiel, Ryszard S. Romaniuk, Proc. of SPIE Vol. 8902, 89022M 2013 SPIE CCC code: X/13/$18 doi: / Proc. of SPIE Vol M-1

2 If the paper does not have the margins shown in Table 1, it will not upload properly. Table 1. Detailed process parameters in L orthogonal matrix Run power [W] pressure O 2 /Ar [mtorr] [n.a.] time [min] , , , , , ,5 2 a) c) d) rc 0a Ê tó E 0.9 ó á c x 1.50 co 9 1.] E E 500 ú J E 475 E E Ê E power (W) pressure (mtorr) O1 /Ar gas ratio [n.a] 25 Figure 1. Average parameter functions of thickness, refractive index and surface roughness (R a ) calculated for power (a), pressure (b), O 2 /Ar gas ratio (c) and processing time (d) Thin layer deposited on Si substrates are characterized by very low surface roughness parameters. Roughness average (R a ), root mean square (R ms ) and median value are treated as reference in comparison. Roughness average and root mean square change its values in similar range R a,r ms nm. Median value changed in range nm. Generally very smooth surface is obtained for highest observed power and high amount of oxygen in O 2 /Ar gas ratio. For higher pressures decrease in average function is also observed (Fig.1.b). The example set of AFM images of substrates with layers deposited using power P = 1300W was depicted in figure 2. Proc. of SPIE Vol M-2

3 a) b) c) eonm nm 13 0 nm GO Figure 2. AFM images of surface morphologies measured for substrates processed in runs: 7 (a), 8 (b) and 9 (c). The influence of operating power on RI stands out from other examined process parameters. For an increasing power we observe an increased value of refractive index. Minimum value of average function is observed for pressure p = 6 mtorr. The optimal oxygen amount in examined parameter range is 25 sccm or 30 sccm in total 50 sccm O 2 /Ar gas flow. Refractive indexes calculated using ellipsometric measurement varied in range of RI Refractive indexes of thin Gd 2 O 3 from literature survey using different deposition / growth techniques are presented in Tab. 2. Table 2. Refractive indexes for various deposition / growth techniques. 650nm technique Ref electron beam evaporation [6] electron beam codeposition [7] epitaxial grow [8] atomic layer deposition [9] reactive magnetron sputtering this work The most predictable characteristics are shown in Figures 1a and 1b where thickness increases with power and processing time. More oxygen in plasma means decreasing amount of Ar which is responsible for sputtering of Gd target, thus deposition rate is low for high amount of oxygen in O 2 /Ar gas. For selected process runs the average deposition rate is higher than 9 nm/min and doesn t exceed 17 nm/min. 3.2 Electrical features MIS structures with aluminum contact (S = 7, cm 2 ) were measured using high-frequency (10kHz, 100kHz, 1MHz) C-V system. Capacitance-voltage characteristics were used to determine permittivity, resistivity and other useful dielectric parameters. Current-voltage characteristics (I-V) were also measured to investigate brake-down field and voltage. The influence of power, pressure and oxygen amount in O 2 /Ar gas on electrical parameters is presented in Fig. 3. Proc. of SPIE Vol M-3

4 I_ ' '\ ' l ' ' _ U" or c n - f a - 50n n ^É008 o al 00n a p 100n 26G 25G ÿ 24G E 23G 0.22G 21G 20G 30G ^28G ÿ 26G tei24g (31'22G 20G 400T 300T - I a E Ç 3001 n power [W] pressure [mtorr] /Af [^/o] Figure 3. Influence of power (a), pressure (b) and oxygen/argon gas ratio (c) on electrical features of Gd 2 O 3 The highest permittivity of examined structures was obtained for 8 th process (see Tab. 1.) and values varied in range of 2 12 at 100 khz. The highest resistivity was observed for power P = 300W, pressure p = 6mTorr and 60% of oxygen in O 2 /Ar gas. Flat-band voltage (U FB ) values systematically decreases while power and pressure was increasing. Minimal value of U FB is observed for 60% of oxygen in O 2 /Ar gas. The influences of power, pressure and oxygen gas ratio on other useful characterization parameters such as density of surface states (D it ), effective charge (Q eff ) and resistivity (ρ) are also depicted in Fig. 3. The variation of permittivity values for all performed process runs is depicted in Fig. 3. It is noticeable that depending on the requirements, it is possible to adjust permittivity from low to relatively high operating frequencies. Proc. of SPIE Vol M-4

5 loo process run 7 8 Figure 4. Variation of Gd 2 O 3 permittivity measured at 10kHz, 100kHz and 1MHz frequencies Corresponding to 8 th run, capacitance-voltage and current-voltage characteristics were presented in Fig. 5. Hysteresis in C-V plots can be attributed to the presence of large number of mobile oxide charges 10. From calculated relative influence factors (IF) arise a fact that density of surface states (D it ) is vulnerable especially for power (IF = 40%) and low oxide amount in O 2 /Ar gas mixture (IF = 22%). Influence factors (IF) are based on comparison of subtract of maximum and minimum (ΔE param ) average parameter values 11 compared to the sum of each ΔE param. In Fig. 2 we see that density of surface states is increased for power P = 1300W and gas O 2 /Ar gas ratio 1:1. Chamber pressure is less influent (IF = 13%), however it also causes an increase of D it which results in generation of charge trapping and hysteresis. Calculation of flat-band voltage difference in forward and backward polarization allowed to estimate hysteresis for 1 MHz, 100 khz and 10 khz values of which are ΔU FB 2.34V, 0.45V, and 0.31V respectively for process 8 th (Fig.4a). Leakage current of capacitors with Gd 2 O 3 layers deposited using power P = 1300W is at level of I leak The break down field is varied in range E br = MV/cm for all examined layers. Maximum break down field was observed for structures numbered 5 (see table 1). Most of the capacitors are characterized by break down voltage on level U br = 7V. Maximum break down voltage was observed for capacitors done in runs 7 and 8 (U br =16V). Proc. of SPIE Vol M-5

6 a) 7n 6n - LL 5n- 0 c 4n - f6 t' 3n - 01 a2nit U in - C ' 1 1 i ' C =6.11nF = 4.70 nf 1U`a=0.45 V LTe,= 0.75 nf AU =2.34V.9 AU Le= 0.31 V 1 ' 1 ' khz --10 khz --1 MHz 03 r» _. :y: _-;,"a--=' b) Voltage [V] 1x10' Voltage [V] Figure 5. C-V hysteresis of magnetron sputtered Gd 2 O 3 (run 8) as a function of operating frequency (a) and I-V characteristics of Gd 2 O 3 capacitors with layers deposited using P = 1300W (b) 4. CONCLUSIONS Reactive magnetron sputtering technique has been successfully used to deposit relatively thin Gd 2 O 3 layers. Through process optimization using L orthogonal matrix, different permittivity (κ) materials exhibiting promising electrical features were created. Based on the results, the highest permittivity material can be obtained for the following process conditions: power P = 800W, pressure p = 6 mtorr and 1:1 O 2 /Ar gas ratio, however process with described conditions is not included in orthogonal array. It`s possible to adjust the desired electrical (κ, D it, U FB, ρ, etc.), optical (RI) and morphological (R a, R ms, etc.) features using presented set of magnetron sputtering parameters. Calculation of relative influence factor (IF) gives an information which parameters is decisive and influence the output (measured) parameter the most. Based on it, further research may be focused on power and O 2 /Ar gas ratio. Performed C-V and I-V measurements proved that MIS structures work in high frequency. However, there is still research area which need further improvement. Acknowledgements This work was co-financed by the European Union within European Regional Development Fund, through grant Innovative Economy (POIG /08-05), National Centre for Science under the grant no. N N , by National Centre for Research and Development through Applied Research Program grant no , by Wroclaw University of Technology statutory grant S20010, B20011 and Slovak-Polish International Cooperation Program no. SK- PL & This work was partially supported by The National Science Centre (Grant no N N ). Fellowship co-financed by European Union within European Social Found. Proc. of SPIE Vol M-6

7 REFERENCES [1] Shoujing Yue, Feng Wei, Yi Wang, Zhimin Yang, Jun Du, Gd2O3 High-K gate dielectrics deposited by magnetron sputtering, Journal of Physics: Conference Series 152, (2009) [2] Wilk G.D., Wallace R.M. and Anthony J.M., High-κ gate dielectrics: Current status and materials properties considerations, J. Appl. Phys. 89, 5243 (2001) [3] Kwo J., Hong M., Kortan A. R., Queeney K. L., Chabal Y. J. et al., Properties of high κ gate dielectrics Gd2O3 and Y 2 O 3 for Si, J. Appl. Phys. 89, 3920 (2001) [4] Badylevich M., Shamuilia S., Afanas ev V.V., Stesmans A., Laha A., Osten H. J., and Fissel A., Investigation of the electronic structure at interfaces of crystalline and amorphous Gd 2 O 3 thin layers with silicon substrates of different orientations, Appl. Phys. Lett. 90, (2007). [5] Fissel A., Czemohorsky M. and Osten H. J., Gd 2 O 3 High-K gate dielectrics deposited by magnetron sputtering, Superlattices and Microstructures 40, 551 (2006) [6] Sahoo N.K., Snthilkumar M. et al., Corellation of optical and microstructural properties of Gd 2 O 3 thin films through phase-modulated ellipsometry and multi-mode atomic force microscopy, App. Surf. Scienc. 200, (2002) [7] Sahoo N.K., Thakur S., Tokas R.B., Kamble N.M., Refractive-index tailoring and morphological evolutions in Gd 2 O 3 -SiO 2 and ZrO 2 SiO 2 composite thin films, Appl. Phys. A 89, (2007) [8] Hong M., Kwo J., Chu S.N.G., Mannaerts J.P., et al., GaN/Gd 2 O 3 /GaN Single Crystal Heterostructure (Invited), < [9] Dueñas S., Castán H., García H., et al., Electrical Properties of Atomic-Layer-Deposited Thin Gadolinium Oxide High-k Gate Dielectrics, Electrochem. Soc. 154 (10), G207-G214 (2007) [10] Chaudhuri A.R., Fissel A., Archakam V.R. and Osten H. J., Improving dielectric properties of epitaxial Gd 2 O 3 thin films on silicon by nitrogen doping, Appl. Phys. Lett. 102, (2013) [11] Yin G.Z., Jillie D.W., Orthogonal Design for Process Optimization and Its Application in Plasma Etching, Solid State Technol., pp (1987) Proc. of SPIE Vol M-7

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water Philippe P. de Rouffignac, Roy G. Gordon Dept. of Chemistry,, Cambridge, MA gordon@chemistry.harvard.edu (617) 495-4017

More information

ARTICLE IN PRESS. Materials Science in Semiconductor Processing

ARTICLE IN PRESS. Materials Science in Semiconductor Processing Materials Science in Semiconductor Processing ] (]]]]) ]]] ]]] Contents lists available at ScienceDirect Materials Science in Semiconductor Processing journal homepage: www.elsevier.com/locate/mssp High-dielectric

More information

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Microelectronic Engineering 77 (2005) 48 54 www.elsevier.com/locate/mee Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Minseong Yun a, Myoung-Seok Kim a, Young-Don

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition Nuclear Instruments and Methods in Physics Research B 206 (2003) 357 361 www.elsevier.com/locate/nimb Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Chizuru Ohshima*, Ikumi Kashiwagi*, Shun-ichiro Ohmi** and Hiroshi Iwai* Frontier Collaborative Research Center* Interdisciplinary

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Advances in high k gate dielectrics for Si and III V semiconductors

Advances in high k gate dielectrics for Si and III V semiconductors Journal of Crystal Growth 251 (2003) 645 650 Advances in high k gate dielectrics for Si and III V semiconductors J. Kwo a, *, M. Hong a, B. Busch b, D.A. Muller c, Y.J. Chabal a, A.R. Kortan c, J.P. Mannaerts

More information

Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices

Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices Materials 2012, 5, 1413-1438; doi:10.3390/ma5081413 Review OPEN ACCESS materials ISSN 1996-1944 www.mdpi.com/journal/materials Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

Improvement of interfacial and dielectric properties of sputtered Ta 2 O 5 thin films by substrate biasing and the underlying mechanism

Improvement of interfacial and dielectric properties of sputtered Ta 2 O 5 thin films by substrate biasing and the underlying mechanism JOURNAL OF APPLIED PHYSICS 97, 114106 2005 Improvement of interfacial and dielectric properties of sputtered Ta 2 O 5 thin films by substrate biasing and the underlying mechanism A. P. Huang and Paul K.

More information

For Si and Compound Semiconductors

For Si and Compound Semiconductors Recent Advances in High κ Gate Dielectrics For Si and Compound Semiconductors J. Raynien Kwo ( ) Physics Department, National Tsing Hua University Previous Collaborators at Bell Labs ( Lucent Technologies

More information

Electrical properties of thin rf sputtered aluminum oxide films

Electrical properties of thin rf sputtered aluminum oxide films Materials Science and Engineering B 109 (2004) 99 103 Electrical properties of thin rf sputtered aluminum oxide films M. Voigt, M. Sokolowski Institut für Physikalische und Theoretische Chemie der Universität

More information

Deposition and characterization of sputtered ZnO films

Deposition and characterization of sputtered ZnO films Superlattices and Microstructures 42 (2007) 89 93 www.elsevier.com/locate/superlattices Deposition and characterization of sputtered ZnO films W.L. Dang, Y.Q. Fu, J.K. Luo, A.J. Flewitt, W.I. Milne Electrical

More information

Electrical properties of thin rf sputtered aluminum oxide films

Electrical properties of thin rf sputtered aluminum oxide films Materials Science and Engineering Bxxx (2004) xxx xxx Electrical properties of thin rf sputtered aluminum oxide films M. Voigt, M. Sokolowski Institut für Physikalische und Theoretische Chemie der Universität

More information

Ageing Resistance (12 years) of Hard and Oxidation Resistant SiBCN Coatings

Ageing Resistance (12 years) of Hard and Oxidation Resistant SiBCN Coatings Ageing Resistance (12 years) of Hard and Oxidation Resistant SiBCN Coatings Jiri Houska Department of Physics and NTIS - European Centre of Excellence, University of West Bohemia, Czech Republic Acknowledgment

More information

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Hengda Zhang Anthony Githinji 1. Background RuO2 in both crystalline and amorphous forms is of crucial importance for theoretical as

More information

The study of high dielectric constant gate-used (1-x) La 2 O 3 -x SiO 2 ceramics

The study of high dielectric constant gate-used (1-x) La 2 O 3 -x SiO 2 ceramics The study of high dielectric constant gate-used (1-) La 2 O 3 - SiO 2 ceramics Ho-Hua Chung 1, Kuan-Huei Lu 2, Cheng-Fu Yang *3, Ping-Shou Cheng 2 1 Dept. Automation Eng., K.Y.I.T., Kaohsiung, Taiwan,

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Shiyang Zhu and Anri Nakajima Reserach Center for Nanodevices and Systems, Hiroshima University, 1-4-2

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Roman Chistyakov and Bassam Abraham Zond Inc/Zpulser LLC, Mansfield, MA

Roman Chistyakov and Bassam Abraham Zond Inc/Zpulser LLC, Mansfield, MA HIPIMS Arc-Free Reactive Sputtering of Non-conductive Films Using the ENDURA 200 mm Cluster Tool: Direct Comparison Between Pulsed DC Pinnacle Plus and HIPIMS Cyprium Roman Chistyakov and Bassam Abraham

More information

J. Niinistö, M. Ritala, and M. Leskelä Department of Chemistry, University of Helsinki, Helsinki, Finland

J. Niinistö, M. Ritala, and M. Leskelä Department of Chemistry, University of Helsinki, Helsinki, Finland Electrical properties of thin zirconium and hafnium oxide high-k gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursors S. Dueñas, a H. Castán, H. Garcia, A. Gómez,

More information

Deposited by Sputtering of Sn and SnO 2

Deposited by Sputtering of Sn and SnO 2 Journal of the Korean Ceramic Society Vol. 49, No. 5, pp. 448~453, 2012. http://dx.doi.org/10.4191/kcers.2012.49.5.448 Comparative Study of Nitrogen Incorporated SnO 2 Deposited by Sputtering of Sn and

More information

Red luminescence from Si quantum dots embedded in SiO x films grown with controlled stoichiometry

Red luminescence from Si quantum dots embedded in SiO x films grown with controlled stoichiometry Red luminescence from Si quantum dots embedded in films grown with controlled stoichiometry Zhitao Kang, Brannon Arnold, Christopher Summers, Brent Wagner Georgia Institute of Technology, Atlanta, GA 30332

More information

Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water.

Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water. Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water. Supplementary Figure S2 AFM measurement of typical LTMDs

More information

Passivation of InAs and GaSb with novel high dielectrics

Passivation of InAs and GaSb with novel high dielectrics Passivation of InAs and GaSb with novel high dielectrics Professor Minghwei HONG Department of Materials Science and Engineering, National Tsing Hua University 101, Section 2, Kuang-Fu Rd., Hsinchu, Taiwan,

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions Journal of the Korean Physical Society, Vol. 47, No. 3, September 2005, pp. 501 507 Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum

More information

Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties

Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties Yiqun Liu 1)*, Min Xu 2), Jaeyeong Heo 1), Peide D. Ye 2), and Roy G. Gordon 1)** 1) Department of Chemistry and

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

CHAPTER 4 THE STUDIES OF THE CVD GROWTH PROCESS FOR EPITAXIAL DIAMOND (100) FILMS USING UHV STM

CHAPTER 4 THE STUDIES OF THE CVD GROWTH PROCESS FOR EPITAXIAL DIAMOND (100) FILMS USING UHV STM CHAPTER 4 THE STUDIES OF THE CVD GROWTH PROCESS FOR EPITAXIAL DIAMOND (100) FILMS USING UHV STM 4.1 Introduction This chapter presents studies of the CVD diamond growth process using UHV STM. It has been

More information

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS Surface Review and Letters, Vol. 8, No. 5 (2001) 521 526 c World Scientific Publishing Company RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS S. J. WANG,

More information

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 4, pp. 207-212, August 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.4.207 Correlation

More information

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Applied Surface Science 212 213 (2003) 388 392 Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Marcus A. Pereira, José A. Diniz, Ioshiaki Doi *, Jacobus W. Swart

More information

D DAVID PUBLISHING. Dielectric Properties of ZrTiO 4 Thin Films Prepared by Reactive DC Magnetron Co-sputtering. 1. Introduction

D DAVID PUBLISHING. Dielectric Properties of ZrTiO 4 Thin Films Prepared by Reactive DC Magnetron Co-sputtering. 1. Introduction Journal of Physical Science and Application 7 (6) (2017) 24-29 doi: 10.17265/2159-5348/2017.06.004 D DAVID PUBLISHING Dielectric Properties of ZrTiO 4 Thin Films Prepared by Reactive DC Magnetron Co-sputtering

More information

Properties of aluminium oxide thin films deposited in high effective reactive pulsed magnetron sputtering process

Properties of aluminium oxide thin films deposited in high effective reactive pulsed magnetron sputtering process Materials Science-Poland, 30(4), 2012, pp. 323-328 http://www.materialsscience.pwr.wroc.pl/ DOI: 10.2478/s13536-012-0058-4 Properties of aluminium oxide thin films deposited in high effective reactive

More information

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source GABLECH Imrich 1,*, SVATOŠ Vojtěch 1,, PRÁŠEK Jan 1,, HUBÁLEK Jaromír

More information

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics METAL OXIDE SEMICONDUCTOR (MOS) DEVICES Term Paper Topic: Hafnium-based High-K Gate Dielectrics AUTHOR KYAWTHETLATT Content 1. High-k Gate Dielectric introduction 3 2. Brief history of high-k dielectric

More information

Microstructure and electrical properties of Al 2 O 3 ZrO 2 composite films for gate dielectric applications

Microstructure and electrical properties of Al 2 O 3 ZrO 2 composite films for gate dielectric applications Thin Solid Films 476 (2005) 312 316 www.elsevier.com/locate/tsf Microstructure and electrical properties of Al 2 O 3 ZrO 2 composite films for gate dielectric applications Ming Zhu a,b, Peng Chen a, Ricky

More information

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM Integrated Ferroelectrics, 84: 169 177, 2006 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580601085750 High Density Plasma Etching of IrRu Thin Films

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Interface Properties of La-silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT

Interface Properties of La-silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT ECS-PRiME 2012, Hawaii Interface Properties of MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT K. Tuokedaerhan a, R. Tan c, K. Kakushima b, P. Ahmet a,y. Kataoka b, A. Nishiyama b, N.

More information

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study JOURNAL OF APPLIED PHYSICS 97, 073519 2005 Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study SungKwan Kim, a Yangsoo

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5961/60/dc1 Supporting Online Material for Polarization-Induced Hole Doping in Wide Band-Gap Uniaxial Semiconductor Heterostructures John Simon, Vladimir Protasenko,

More information

LOW TEMPERATURE GROWTH OF SMOOTH INDIUM TIN OXIDE FILMS BY ULTRAVIOLET ASSISTED PULSED LASER DEPOSITION

LOW TEMPERATURE GROWTH OF SMOOTH INDIUM TIN OXIDE FILMS BY ULTRAVIOLET ASSISTED PULSED LASER DEPOSITION Journal of Optoelectronics and Advanced Materials Vol. 4, No. 1, March 2002, p. 21-25 LOW TEMPERATURE GROWTH OF SMOOTH INDIUM TIN OXIDE FILMS BY ULTRAVIOLET ASSISTED PULSED LASER DEPOSITION V. Craciun,

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Influence of high Al fraction on reactive ion etching of AlGaN/GaN heterostructures

Influence of high Al fraction on reactive ion etching of AlGaN/GaN heterostructures Optica Applicata, Vol. XLIII, No. 1, 2013 DOI: 10.5277/oa130103 Influence of high Al fraction on reactive ion etching of AlGaN/GaN heterostructures JACEK GRYGLEWICZ *, ANDRZEJ STAFINIAK, MATEUSZ WOŚKO,

More information

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE Abstract ANA NEILDE R. DA SILVA, NILTON MORIMOTO, OLIVIER BONNAUD* neilde@lsi.usp.br - morimoto@lsi.usp.br

More information

Influence of oxygen to argon ratio on the optical and structural properties of rf magnetron sputtered Ba 0.7 Sr 0.3 TiO 3 thin films.

Influence of oxygen to argon ratio on the optical and structural properties of rf magnetron sputtered Ba 0.7 Sr 0.3 TiO 3 thin films. Chapter 4 Influence of oxygen to argon ratio on the optical and structural properties of rf magnetron sputtered Ba 0.7 Sr 0.3 TiO 3 thin films. The optical properties of barium strontium titanate thin

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Swarna Addepalli, Prasanna Sivasubramani, Hongguo Zhang, Mohamed El-Bouanani, Moon J. Kim, Bruce

More information

Properties of thin films of high-k oxides grown by atomic layer deposition at low temperature for electronic applications

Properties of thin films of high-k oxides grown by atomic layer deposition at low temperature for electronic applications Optica Applicata, Vol. XLIII, No. 1, 2013 DOI: 10.5277/oa130102 Properties of thin films of high-k oxides grown by atomic layer deposition at low temperature for electronic applications SYLWIA GIERAŁTOWSKA

More information

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003 Fabrication and Electrical Properties of Pure Phase Films B. G. Chae, D. H. Youn, H. T. Kim, S. Maeng, and K. Y. Kang Basic Research Laboratory, ETRI, Daejeon 305-350, Republic of Korea arxiv:cond-mat/0311616v2

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

TANOS Charge-Trapping Flash Memory Structures

TANOS Charge-Trapping Flash Memory Structures TANOS Charge-Trapping Flash Memory Structures A Senior Design by Spencer Pringle 5/8/15 Table of Contents Motivation Why Charge-Trapping Flash (CTF)? Charge-Trapping vs. Floating Gate Electronically-Erasable

More information

THE INCREASE IN THICKNESS UNIFORMITY OF FILMS OBTAINED BY MAGNETRON SPUTTERING WITH ROTATING SUBSTRATE

THE INCREASE IN THICKNESS UNIFORMITY OF FILMS OBTAINED BY MAGNETRON SPUTTERING WITH ROTATING SUBSTRATE Plasma Physics and Technology 3(3):1 14, 216 Department of Physics, FEE CTU in Prague, 216 THE INCREASE IN THICKNESS UNIFORMITY OF FILMS OBTAINED BY MAGNETRON SPUTTERING WITH ROTATING SUBSTRATE Golosov

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Effect of Hydrogen Treatment on Electrical Properties of Hafnium Oxide for Gate Dielectric Application

Effect of Hydrogen Treatment on Electrical Properties of Hafnium Oxide for Gate Dielectric Application JURNAL F SEMINDUTR TEHNLGY AND SIENE, VL.1, N. 2, JUNE, 2001 95 Effect of Hydrogen Treatment on Electrical Properties of Hafnium xide for Gate Dielectric Application Kyu-Jeong hoi, Woong-hul Shin, and

More information

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS A STUDY OF BIASED TARGET ION BEAM DEPOSITED DIELECTRIC OXIDES FELIX ARONOVICH FALL 2013 A thesis

More information

1. Introduction. 2. Experiments. Paper

1. Introduction. 2. Experiments. Paper Paper Novel Method of Improving Electrical Properties of Thin PECVD Oxide Films by Fluorination of Silicon Surface Region by RIE in RF CF 4 Plasma Małgorzata Kalisz, Grzegorz Głuszko, and Romuald B. Beck

More information

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION 40 CHAPTER 4 SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION 4.1 INTRODUCTION Aluminium selenide is the chemical compound Al 2 Se 3 and has been used as a precursor

More information

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

AC Reactive Sputtering with Inverted Cylindrical Magnetrons AC Reactive Sputtering with Inverted Cylindrical Magnetrons D.A. Glocker, Isoflux Incorporated, Rush, NY; and V.W. Lindberg and A.R. Woodard, Rochester Institute of Technology, Rochester, NY Key Words:

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films

Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films Journal of Applied Chemical Research, 9, 2, 73-79 (2015) Journal of Applied Chemical Research www.jacr.kiau.ac.ir Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films Abstract

More information

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Poster FVS Workshop 2002 Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Texture etching of sputtered ZnO:Al films has opened up a variety of possibilities

More information

Chemistry and Materials Research ISSN (Print) ISSN (Online) Vol.3 No.4, 2013

Chemistry and Materials Research ISSN (Print) ISSN (Online) Vol.3 No.4, 2013 Fabrication and Electrical characteristic of quaternary ultrathin HfTiErO thin films for MOS devices grown by rf sputtering Murad Ali Khaskheli 1,4, Ping Wu 1*, Amir Mahmood Soomro 3, Matiullah Khan 2

More information

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 157=[403] 163=[409], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701735673 The Effect of Interfacial

More information

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen Ž. Applied Surface Science 161 2000 54 60 www.elsevier.nlrlocaterapsusc Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen Andreas Othonos a,),

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Deposition of TiN/CrN hard superlattices by reactive d.c. magnetron sputtering

Deposition of TiN/CrN hard superlattices by reactive d.c. magnetron sputtering Bull. Mater. Sci., Vol. 26, No. 2, February 2003, pp. 233 237. Indian Academy of Sciences. Deposition of TiN/CrN hard superlattices by reactive d.c. magnetron sputtering HARISH C BARSHILIA and K S RAJAM*

More information

Low temperature formation of nc-si by ICP-CVD with internal antenna. A. Tomyo, H. Kaki, E. Takahashi, T. Hayashi, K. Ogata

Low temperature formation of nc-si by ICP-CVD with internal antenna. A. Tomyo, H. Kaki, E. Takahashi, T. Hayashi, K. Ogata Low temperature formation of nc-si by ICP-CVD with internal antenna A. Tomyo, H. Kaki, E. Takahashi, T. Hayashi, K. Ogata Process Research Center, R & D Laboratories, Nissin Electric Co., Ltd., Umezu,

More information

Summary and Scope for further study

Summary and Scope for further study Chapter 6 Summary and Scope for further study 6.1 Summary of the present study Transparent electronics is an emerging science and technology field concentrated on fabricating invisible electronic circuits

More information

8. Summary and Outlook

8. Summary and Outlook 8. Summary and Outlook This thesis deals with the synthesis and evaluation of all-nitrogen coordinated complexes of the rare earths such ad Gd, Er, and Y bearing the amidinate and guanidinate class of

More information

Synthesis and Characterization of DC Magnetron Sputtered ZnO Thin Films Under High Working Pressures

Synthesis and Characterization of DC Magnetron Sputtered ZnO Thin Films Under High Working Pressures Accepted Manuscript Synthesis and Characterization of DC Magnetron Sputtered ZnO Thin Films Under High Working Pressures M. Hezam, N. Tabet, A. Mekki PII: S0040-6090(10)00417-7 DOI: doi: 10.1016/j.tsf.2010.03.091

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Electrical properties of inalp native oxides for metal-oxide-semiconductor device applications Permalink https://escholarship.org/uc/item/30p742jd

More information

RightCopyright 2006 American Vacuum Soci

RightCopyright 2006 American Vacuum Soci Title Gallium nitride thin films deposite magnetron sputtering Author(s) Maruyama, T; Miyake, H Citation JOURNAL OF VACUUM SCIENCE & (2006), 24(4): 1096-1099 TECHNOL Issue Date 2006 URL http://hdl.handle.net/2433/43541

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Oxidation behavior of Cu nanoclusters in hybrid thin films

Oxidation behavior of Cu nanoclusters in hybrid thin films Oxidation behavior of Cu nanoclusters in hybrid thin films Harm Wulff,* Steffen Drache*, Vitezslav Stranak**, Angela Kruth*** *EMAU Greifswald, **South Bohemian University, Budweis, *** INP Greifswald

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Journal of Multidisciplinary Engineering Science and Technology (JMEST) Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties Ahmed K. Abbas 1, Mohammed K. Khalaf

More information

Research Article X-Ray Photoemission Study of the Oxidation of Hafnium

Research Article X-Ray Photoemission Study of the Oxidation of Hafnium Spectroscopy Volume 29, Article ID 43965, 6 pages doi:1.1155/29/43965 Research Article X-Ray Photoemission Study of the Oxidation of Hafnium A. R. Chourasia, 1 J. L. Hickman, 1 R. L. Miller, 1 G. A. Nixon,

More information

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Japanese Journal of Applied Physics Vol. 46, No. 5B, 27, pp. 3234 3238 #27 The Japan Society of Applied Physics Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Banani

More information

ZnO thin film deposition on sapphire substrates by chemical vapor deposition

ZnO thin film deposition on sapphire substrates by chemical vapor deposition ZnO thin film deposition on sapphire substrates by chemical vapor deposition Zhuo Chen 1, T. Salagaj 2, C. Jensen 2, K. Strobl 2, Mim Nakarmi 1, and Kai Shum 1, a 1 Physics Department, Brooklyn College

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Junbo Feng School of Optoelectronics Science and Engineering, Wuhan National Laboratory for Optoelectronics,

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Hafnium oxide thin films deposited by reactive middle-frequency dual-magnetron sputtering

Hafnium oxide thin films deposited by reactive middle-frequency dual-magnetron sputtering Thin Solid Films 515 (2007) 7984 7989 www.elsevier.com/locate/tsf Hafnium oxide thin films deposited by reactive middle-frequency dual-magnetron sputtering V. Pervak a,, F. Krausz a,b, A. Apolonski b,c

More information

Peter Gu, W. Walkosz, R.F. Klie Nanoscale Physics Group University of Illinois at Chicago

Peter Gu, W. Walkosz, R.F. Klie Nanoscale Physics Group University of Illinois at Chicago Stabilizing Cubic HfO 2 Doped Y 2 O 3 using TEM http://www.tedpella.com/grids_html/si-window.jpg Peter Gu, W. Walkosz, R.F. Klie Nanoscale Group University of Illinois at Chicago Moore s Law 1965 by Intel

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Epitaxial growth of (001) and (111) Ni films on MgO substrates

Epitaxial growth of (001) and (111) Ni films on MgO substrates Epitaxial growth of (001) and (111) Ni films on MgO substrates Rosa Alejandra Lukaszew 1, Vladimir Stoica, Ctirad Uher and Roy Clarke Physics Department, University of Michigan, Ann Arbor 1 Presently at

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information