Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

Size: px
Start display at page:

Download "Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening"

Transcription

1 -free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening Jaehyuck Choi* a, Jinsu Kim a, Jeff Lowe b, Davide Dattilo c, Soowan Koh a, Jun Yeol Choi a, Uwe Dietze c, Tsutomu Shoki d, Byung Gook Kim a, Chan-Uk Jeon a, a Samsung Electronics Co., Ltd, Samsungjeonja-ro, Hwaseong-si, Gyeonggi-do Korea; b Intermolecular Inc., 30 North First Street, San Jose, CA 9534 USA; c SUSS MicroTec Lithography GmbH, Schleisheimer Strase 90, Garching Germany; d HOYA Corporation, Naka-Ochiai, Shinjuku-ku, Tokyo Japan ABSTRACT EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation. Keywords: EUV mask cleaning, film loss, SPM, new chemicals, combinatorial chemical screening, lifetime elongation * Corresponding author. INTRODUCTION. Conventional EUV cleaning processes For the patterning on wafer plane using 3.5nm wavelength-light reflected from EUV mask, EUV mask structure consists of repeated alternating multi-stacks of Si and Mo deposited on Qz-based substrate. Ruthenium capping layer on multi-stacking layers is supposed to protect EUV multi-layers from possible degradation during mask fabrication, EUV exposure, storage, or maintaining processes. Tantalum-based layer deposited on ruthenium surface is working as absorbing medium of EUV light. Since such materials have never been used in mask technology, it is important for mask shops to secure appropriate cleaning processes for EUV mask. The possibility that EUV pellicle may not be applied to EUV lithography can lead to abrupt increase of cleaning cycles of EUV mask, which is addressing that mask film losses during cleaning process have to be properly maintained within minimal specifications. Photomask Technology 205, edited by Naoya Hayashi, Bryan S. Kasprowicz, Proc. of SPIE Vol. 9635, 96350C 205 SPIE CCC code: X/5/$8 doi: 0.7/ Proc. of SPIE Vol C- Downloaded From: on 0/29/205 Terms of Use:

2 Cleaning POR based on SPM (mixture of sulfuric acid, peroxide, and DIW) is known to cause severe film loss of tantalum-based EUV absorber and ARC layers, which can limit cleaning cycles and lifetime of EUV mask 2. Carbon contaminants created on EUV mask surface during EUV exposure are composed of highly packed atomic or molecular segments of C or H and are nearly removed by SPM chemical. This is because SPM molecules are not effectively penetrating into bulk structure of contaminants to react with their inner surfaces and finally decompose them 2. Ta -based Absorber Absorber layer after SPM cleaning Reaction with SPM chemicals SPM m um Absorber CD reduction l Dark CD 29.26nm 30nmPon-expdse "" 30nmexpos 00nm non -expos Dark CD99.66nm --- `ter 00nm exp used Initial 0 CLN 0 ADT 20 CLN 20 ADT 30 CLN Process (a) Figure. (a) Schematic description of EUV absorber pattern etching by SPM chemicals, (b) Absorber CD changes after cleaning and ADT (Alpha demo tool, EUV exposure tool) exposure cycles (b) Active oxygen or hydroxyl species from In-situ UV module (MaskTrack Pro by SUSS Microtec), on the other hand, can effectively decompose carbon contaminants on mask surface. Their small radicals are able to diffuse into the contaminant structures and then oxidize carbonous components into gaseous species. Cleaning POR based on active oxygen or hydroxyl species ends up with much smaller effects on EUV absorber CDs (critical dimensions) compared to SPM process 3, 4. Such effective cleaning mediums, however, turn out to trigger serious damage on ruthenium capping layer after several cleaning cycles made. initial Ru damage procedure Peeling (({SUV``{S{ ({ (()y({s Y ` UV Pit by M Y RuO,IRuO,,M (a) Figure 2. (a) SEM (left) and TEM images (right) of ruthenium damage triggered by In-situ UV cleaning process, respectively, (b) Schematic drawing of probable mechanism of ruthenium damage by In-situ UV process, which is well described by S.Y. Lee et al 4. (b).2 EUV pellicle and corresponding carbon contaminant Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies 5. It is still important to obtain film-loss free cleaning chemicals because EUV mask is expected to be cleaned more frequently than optic EUV owing to shorter lifetime of EUV pellicle. Diffusion of hydrogen gas molecules inserted to remove carbon contaminants on EUV mask surface can be impeded by EUV pellicle mounted on it. This implies that EUV mask with pellicle might be subject to more severe carbon contamination and thus more frequent cycles of cleaning process compared to EUV mask without pellicle. Proc. of SPIE Vol C-2 Downloaded From: on 0/29/205 Terms of Use:

3 (a) (b) Figure 3. (a) Schematic drawing of EUV mask without EUV pellicle where carbon contaminants are exposed to atomic hydrogen, (b) EUV mask with EUV pellicle mounted where diffusion of hydrogen molecules is impeded by EUV pellicle so that carbon, if created, might be hardly removed by atomic hydrogen. In this paper, we introduce how cleaning chemical developments are planned, approached, and completed to achieve EUV mask film loss free and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. 2. CHEMICAL DEVELOPMENT STRATEGY 2. Chemical development approach Mask cleaning basically consists of acidic and alkali cleaning processes. Acidic cleaning mainly done by acid chemicals is for organic removal and surface pre-treatment prior to particle removal by alkali cleaning. Acid chemicals (e.g. SPM chemical) have high oxidation power towards most materials, especially metallic compounds although they are very good at organic contaminant removal. EUV mask structure consists of more components of metallic compounds than optic mask so that it can lead to more severe film loss under normal acidic cleaning process. On the contrary, base (or alkali) chemicals still have capability to remove organic contaminants while they are less aggressive against metallic components. Base chemicals (strong, weak base, and solvent chemicals) instead of acid chemicals are chosen as chemical candidates for acidic cleaning of EUV mask. Certain additive chemicals are added to mitigate mask film loss and assist particle removal on EUV mask (Figure 4). Additive chemical (I) is mainly for particle removal and film loss reduction and additive chemical (II) is for film loss reduction. Various concentrations of each base or additive chemicals are tested under several different temperatures to select the best chemistry formulations for EUV mask cleaning through combinatorial screening methods. Organic removal Zeta potential Particle removal Parameters Final formulation Strong base Additive A" Concentration Base B C - Base A Additive D" - Base B Additive B" - Base C..9 * Additive A" CL - Additive C, CH ** - Base C Additive C" Additive D" CL Weak base Temperature - Base D Temp. 4 - Base E - Base F Solvent * Base concentration : Ci<Ci<C,C,,<CCs<Cs<C, <Cg<C, - Solvent A' ** Additive concentration : Cc < Ca - Solvent B'...Temperature : Ti < T2< T3 < Ta Figure 4. Overall chemical development strategy towards new chemical development for EUV mask cleaning Proc. of SPIE Vol C-3 Downloaded From: on 0/29/205 Terms of Use:

4 2.2 Chemical screening scheme & procedure Selected formulations of each chemical component are tested for organic removal efficiency as a first step of chemical development. Since organic removal is the key requirement of acidic cleaning process, any developed chemicals can be useless if they cannot remove organic contaminants on EUV mask no matter how small their EUV mask film losses are. Formulations survived after organic removal stage are then examined for their removal power of carbon contaminants on EUV mask. Carbon contaminants created on EUV mask surface during EUV exposure are composed of highly packed atomic or molecular segments of carbon or hydrogen 6. Carbon contaminants are extremely difficult to be cleaned out even by chemicals which can perfectly remove photo resist residues. Chemistries which get through carbon contaminant removal are now screened from the perspectives of EUV mask film loss. Chemical attacks on Tantalum-based absorber (pattern -CD change and ARC layer thickness change) and ruthenium capping layers (ruthenium thickness, surface roughness, EUV reflectivity) are fully investigated. Finally, chemical formulations passed through every screening stages are then evaluated under multiple cycles of massproduction cleaning process using real mask cleaning equipment for semi-mass production test. Temperature : Ty < Ty < T3 < CI II Base + solvent Additive I x conc. Additive II x Tr 68 T4 68 formulations Final chemical combinations Total 456 chemical formulation combinations, 776 screenings Organic removal screening screening screening Figure 5. Chemical screening scheme and procedure applied for the development of EUV mask cleaning chemicals 2.3 HPC (High Productivity Combinatorial ) screening by Intermolecular A large number of chemical formulations were screened efficiently by way of Intermolecular s HPC (High Productivity Combinatorial technology) (456 formulations and 50 screenings). Using Intermolecular patented wet screening equipment, Tempus F-20 which can run 64 experiments on each EUV mask enables significant learning and successful development while minimizing development time and cost (Figure 6). Rapid exploration of right chemistries, chemical compositions and process conditions was really possible through this smart methodology. Many combinations of various compositions of base chemicals as well as additive chemicals associated with several process conditions (time, temperature, etc.) were explored via HPC screening and appropriated metrology. 3. EXPERIMENT 3. Organic removal screening For the evaluation of organic contaminant removal, positive-tone photoresist coated EUV blank masks were used as test samples. Each solution of chemical formulations is supplied individually through the cell mounted on mask samples and stayed inside the cell for 5 minutes (refer to delivery cell image in Figure 6). Three different temperatures (T < T 2 <T 3) were used for each formulations. After organic removal tests are done, each sample is examined under optical microscope to determine removal efficiency of each chemical combination. Removal efficiencies are graded by from 0 to Proc. of SPIE Vol C-4 Downloaded From: on 0/29/205 Terms of Use:

5 5 (0 is perfect removal, 5 is no removal), depending on remaining residue amounts on each cells. Each cell is perfectly sealed by elastomer sealing on the contact with mask so that interaction between chemicals in each cell is perfectly prohibited. a A chemical library is prepared and delivered to site - isolated cells I 32x Processed Sample ap S3 S+S S2+S4 S3+S4 M0 Z 53+S9 INTERMOLECULAR S+S2 S3+S9 S4+S9 S2+S3 S+S9 S3 S0 S4+S9 S3+S4 S+S S2+S4 50-8, Figure 6. Intermolecular s HPC (High Productivity Combinatorial) Tempus F-20, delivery cells of Tempus F-20, and 32X processed cells on EUV mask sample 3.2 screening It is not tedious to prepare EUV carbon contaminated samples because EUV source commercially available up to this moment is not strong enough to create carbon contaminants on EUV mask surface (except NXE EUV scanner sources). Main mechanism of carbon contaminant generation is hydrocarbon cracking into atomic segments of C and H by high energy, followed by packing of those segments into closely packed high density structures 6. High energy used for hydrocarbon cracking is provided by direct EUV exposure and secondary electron generated from underlying multilayers upon EUV photon bombardment. Among them secondary electron is known to be a major trigger for carbon generation on EUV mask. When EUV mask is exposed to electron beams of high energy, it turns out that carbon contaminants are also created on EUV mask surface and those structures and properties are very similar to EUV carbons. Based on this, we applied electron beams to EUV mask surface to generate carbon contaminants. By varying exposure conditions (voltage, atmosphere, time, etc.), it is possible to prepare several degrees of carbon contaminants (easy, medium, hard to be removed). We confirmed that each degree of carbon samples show sustaining removal performance under same cleaning chemicals. For the target of this evaluation, we set removal of easy carbon as target of this screening stage. 3.3 screening For the evaluation of EUV mask film loss (absorber, anti-reflection, capping layer), each solution of chemical formulations is supplied individually through the cell mounted on mask samples and stayed inside the cell for 50 minutes (equivalent to 30 cycles of cleaning). Fresh chemical solutions are provided at each 30 minutes in order to maintain chemical concentration near to original value. Absorber pattern CDs and thickness changes of ARC (antireflection coating) and ruthenium capping layers are measured using CD SEM and OCD tools, respectively. EUV reflectivity was measured by EUV reflectometer. Ruthenium surface roughness was measured using AFM tools. Every measurement were done by Samsung mask shop except AFM measurement done by Intermolecular. Proc. of SPIE Vol C-5 Downloaded From: on 0/29/205 Terms of Use:

6 All EUV blanks used for this development (HPC screening test including organic & carbon removal and film loss screening stage, semi-mass production test) were kindly provided by HOYA Corporation (Japan). 3.4 Semi-mass production test Two formulations of cleaning chemicals finally selected through HPC screening were prepared and purified (as test grade) at Dong-woo FineChem (Korea) and then provided to SUSS Microtec for semi-mass production test. Cleaning of each mask (patterned or blank masks) was done by SUSS Microtec mask cleaner and test cleaning process is equivalent to normal mass production cleaning process. Each chemical was inserted into acid cleaning process while other processes and chemicals are same compared to conventional SPM or In-situ UV cleaning processes. For film loss measurement, 50 cycles of cleaning process were applied to each mask sample at process temperature T 3 and T 4, respectively. Then tested samples were shipped to Samsung for the measurement of CD SEM, OCD, EUVR, and particle inspection, etc. For the measurement of carbon removal efficiency, particle removal efficiency, particle adder by chemicals, cycle of cleaning process was applied to each mask sample. For the preparation of PRE test mask samples, each mask was contaminated by solution of SiN particles whose concentration is known. Tested mask samples were shipped to Samsung for the inspection of EUV mask samples. YYYY Cleaning Co plete Residual ion Rem val Cleanliness Surf ce Pre ration o Organic(PR) Y Removal Particle R oval Y Megasonic cleaning with Diluted RT - 6min Formulation T3. T4-6min Figure 7. Cleaning process with insertion of new chemical candidates for semi-mass production test 4. RESULT AND DISCUSSION 4. Organic Removal Screening Results Number of 456 chemical formulations went through 50 screenings, among which 68 formulation have passed though organic removal stage screening (Figure 8). For the evaluation of organic contaminant removal, positive-tone photoresist coated EUV blank masks were used as test samples. It is proven that organic resist removal is mainly dependent on base chemicals rather than solvent or additive chemicals. Basicity (or ph values) and concentrations of each base chemicals are crucial for organic removal since hydroxyl ions (OH-) are decomposing organic contaminants by way of dehydrogenation mechanism. Stronger bases or higher concentrations of each base chemicals exhibit better removal efficiencies of organic resist contaminants on EUV mask surface. 4.2 Carbon Removal Screening Results We have applied 68 chemical combinations screened from previous organic removal test for the evaluation of carbon removal on EUV mask. Carbon samples were fabricated by exposing EUV mask to electron beams under certain atmospheres until carbon contaminants are generated on it. Several degrees of carbon contaminants (easy, medium, or hard to be removed) can be prepared by varying exposure conditions (voltage, atmosphere, time, etc.). It is revealed that none of those chemical formulations are able to remove carbon contaminant at room temperature under given process conditions. So we increased process temperature from room temperature (T ) to higher temperature (T 4) to boost carbon removal power of each chemical although larger film loss at higher temperature is expected. Proc. of SPIE Vol C-6 Downloaded From: on 0/29/205 Terms of Use:

7 Formulation Conc Result Image Base A Cl 5 Base only Base B Base C CI 0 Ba,e D 4 Base + Additive I Base + Additive I + Additive II Base + soly. Base + soly. + Additive Base B - Additive A" CCe ` Base D - Additive A" C[ 2 Base B + Additive A" + Additive D" Base C + Additive B" Additive C" Base D + Solvent A' Base D + Solvent A' Base D + Solvent A' + Additive A" Base D + Solvent A' + Additive C" C. CI CI C, CL C, Ca C6 C7 C6 C7 C6 C C9 CCH Figure 8. Microscopic images of organic removal by various chemical formulations. Removal efficiencies are graded by from 0 to 5 (0 is perfect removal, 5 is no removal), depending on remaining residue amounts on each cells. As can be seen Figure 9 (a), carbon removal performance improves at higher temperature of T 4 for some chemical formulations. Base chemical B shows better removal powers than chemical C, cleaning up to hard carbons as base chemical B is only used. On the other hand, carbon removal performance is deteriorated when additive chemicals are added and even further with increasing additive concentrations. It is expected that additive molecules adsorb on carbon contaminants, blocking the interaction between carbon contaminants and hydroxyl ions to reduce dehydrogenation power of hydroxyl ions (Figure 9 (b)). Base only Base Additive A" Base * Additive, Additive D- (fiz CJ Base only Base Additive A" Base Additive, Additive D- (foa CJ In base chemical Additi ad orponn rbon interrut ba-e rb re.ction. - Base concentration: Cs <C2 <C3<C4 <Cs <C6 <C7 <Ce <C9/ Additive concentration : C< < CH - Temperature : Ti < T2 < Ta < T4 Mask surface (a) Figure 9. (a) SEM review images of carbon removal by various chemical combinations at temperature of T4, (b) Schematic drawing of mechanism that additive chemicals deteriorate carbon removal efficiency of hydroxyl ions by encapsulating carbon contaminants (b) Proc. of SPIE Vol C-7 Downloaded From: on 0/29/205 Terms of Use:

8 4.3 Screening Results As a first step, EUV mask film loss (absorber, anti-reflection, capping layer) was examines at room temperature (T ). Patterned EUV masks were treated by each chemical combination for 50 min (equivalent to 30 cycles of cleaning process), followed by corresponding measurements. It is shown that most combinations are able to meet EUV mask film loss specs (absorber pattern -CD, ARC thickness, ruthenium capping thickness and surface roughness, etc.). Due to poor carbon removal efficiency at lower temperature, it was necessary to check film loss at higher temperature where carbon can be properly cleaned by selected chemical formulations. Tantalum-based absorber pattern CD dimensions were measured at temperature T 4, before and after mask samples are treated by each chemical formulation. As expected, -CD (CD dimension changes) increases as temperature or base chemical concentration increases (Figure 0, left). Interestingly, additive chemical D is very effective in reducing CD loss while additive A or B has limited functionality (Figure 0 middle & right). Additive chemical B is not working as a surface protector and additive chemical A is beneficial only when its concentration is low. It is believed that instinct chemical properties of each additive chemical differentiate their protection capability, which may need further investigation. ocd(nm) Base B Base C ocd(nm) Base B Base C ocd(nm) Additive D" effective 5 - 'im loss reduction Additive A" Additive B" Additive B" not effective, stive ' e ective r i m oss reduction in low concentration C3 C4 C5 C6 Base Conc. w/o Additive D" w/ Additive D "ICL) CL CH CL CH - Base concentration : Cl <C2 <C3 <C4 <C5 <C6 <C7 <C8<C9/ Additive concentration : CL< CH Basc B Basc C (a) (b) (c) Figure. 0. Absorber CD change (a) As function of base chemical type and its concentration., (b) Effect of additive D, (c) Effect of Additive A or B in base chemical. CD change result of (c) is when additive A or B is added to base chemical B or C while additive D has been already included. Among 65 chemical combinations evaluated from -CD change perspective, the combination of base chemical B (concentration C 5) + Additive chemical A (concentration C L) + Additive chemical D (concentration C L) comes up with the smallest CD reduction (dark CD reduction of.68nm). The amount of CD change significantly reduces as appropriate additives are blended with base chemical B (Figure ). Severe damage on ruthenium capping layer excludes formulation of base chemical C from chemical selections although they shows very small CD reduction (most cases with base chemical C show ruthenium damage on EUV patterned mask). o v Base B Cs+ Additive A" C,+ Additive D- C Figure. SEM review images of EUV mask absorber CD changes before and after treated by various chemical combinations 6,, 6 C onl: Additive A" C+ Additive D" C BaseCC, - Additive B" C + Additive D" C Proc. of SPIE Vol C-8 Downloaded From: on 0/29/205 Terms of Use:

9 Then we have conducted ruthenium compatibility study with those chemical formulations. Additive chemical A or B shows effective reduction of ruthenium surface roughness when they are added into base chemical B or C (Figure 2 (a)). Ruthenium surface roughness decreases by 2.5nm and 5nm as additive chemical A and B is added to base chemical B, respectively. Similarly, ruthenium layer thickness etching is reduced by 0.6nm when additive chemical B or C is added to base chemical B. When additive chemicals are added into base chemical B, EUV reflectivity becomes more stable. Such characteristics are very similar when additive chemical D is added into base chemical B (Figure 2 (b)). From these investigations, it can be remarked that additives chemicals (A, B or D ) are very effective in protecting ruthenium surface from chemical interactions of base chemical B. Meanwhile, base chemical C shows very huge physical damages on ruthenium layers (as shown in previous SEM images of Figure ) and such behavior is not properly inhibited simply by adding additive chemicals. RMS(nm) Roughness 25 Additive A" or B" with base B 20 makes less Ru damage ATHK(nm) Thickness Additive A" or B" with base B makes less Ru damage L EUVR( %) EUVR EUVR additive A" or B" in base B 5 d 7.5 None (Additive AlAdditive B" None Additive A" Additive B" t L a None Additive A"IAdditive B" Base 8 Base C Base B -0.5 None AdditiveInAdditive B" Base C None Additive A "IAddidveB Base B None i''3.9!additive A"jAddidvaB BaseC (a) RMS(nm) Roughness z THK(nm) Thickness 25 Additive D" with base B 20 makes less Ru damage Additive D" with base B makes less Ru damage LEUVR( %) EUVR 5 EUVR ed 5 o o -0.B -0.B w _5 0-5 w/o Additive D. w/ Additive D. w/o Additive WI w/ Additive D".2 w/o Additive D" w/ Additive D. w/o Additive D" w/ Additive D. 0 w/o Additive D" w/ Additive D. w/o Additive D" w/ Additive D. Base B Base C Base B Base C Base Base (b) Figure 2. Ruthenium capping layer compatibility results (surface roughness, thickness, EUV reflectivity): (a) when additive chemical I (A, B ) is added, (b) when additive chemical II (D ) is added into base chemical B or C Most chemical formulations produce very severe surface roughness on ruthenium capping layer when they are processed at high temperature. Especially, ruthenium surface is very prone to surface etching when base chemical B is used by itself at such a high temperature (Figure 3 (a)). When additive chemical D is added, ruthenium surface roughness dramatically reduces from 5.270nm (RMS value) to 0.62nm as seen from Figure 3. This is mainly because additive chemical molecules are effectively protecting ruthenium surface from hydroxyl ion attack activated by high temperature. It is interesting to note that base chemical B shows the most satisfactory surface etching profiles on ruthenium when it is used with additive chemical D and A. It is not clear why certain combinations of base and additive chemicals show the smallest surface roughness profiles. passivating effects of additive chemical D is not working with base chemical C as discussed previously. It is assumed that molecular structure or interaction function (attraction-repulsion) of additive chemical D do not properly impede excessive approaches of hydroxyl ions (from stronger basicity of base chemical C) towards ruthenium surface. Proc. of SPIE Vol C-9 Downloaded From: on 0/29/205 Terms of Use:

10 Base B C5 only Base B C5 + Additive D" CL + Additive A" CL Base B C5 + Additive D" CL + Additive A" CH.5.0 RMS 5.270nm,., o RMS 0.62nm RMS 0.78nm _ (a) (b) (c) Figure 3. Ruthenium capping layer RMS roughness images by using AFM for chemical formulation of (a) base B (C5) only, of (b) base B (C5) + additive D (CL) + additive A (CL), and of (c) base B (C5) + additive D (CL) + additive A (CH) 4.4 Semi-mass production test We have conducted 776 combinatorial screenings from perspectives of organic removal, carbon contaminant removal, and EUV mask film losses to eventually find out the optimum chemical formulations for EUV mask cleaning. The best combination is formulation (I) [base chemical B (concentration of C 5) + Additive chemical A" (concentration of C L) + Additive chemical D" (concentration of C L)] and the second best one is formulation (II) [base chemical B (concentration of C 5) + Additive chemical A" (concentration of C H) + Additive chemical D" (concentration of C L)]. Then, we completed semi-mass production test by applying those combinations to real mask cleaning process. Table.. Ruthenium capping layer roughness results by various chemical formulations No. Base B Base C Additve A" Additive B" Additive D" Roughness(nm) C5 CL Cc C5 CH CL C5 CH CL C5 CL C Cs CH C5 CL C5 CL C5 CH Cs C3 CL C C3 CH C3 CL C3 CH C3 C C3 CH C[ C3 C CL C Proc. of SPIE Vol C-0 Downloaded From: on 0/29/205 Terms of Use:

11 For semi-mass production test, each EUV mask samples went through mask cleaning process identical to real mass production using MaskTrack mask cleaner of SUSS-Microtec equipped with formulated chemical solutions. Cleaning process recipe consists of acid cleaning and alkali cleaning where SPM chemical of acid cleaning is replaced by formulation (I) or formulation (II) at process temperature T 3 and T 4, respectively. Each EUV mask was cleaned by 50 cycles of cleaning process and then any variations on their film properties were measured by appropriate metrology (CD SEM, OCD, EUV reflectometer). SiN deposited blank masks were cleaned by one cycle of cleaning process and then their PREs (particle removal efficiency) were measured by inspection. EUV mask with carbon contaminated both on absorber and capping layers was cleaned by one cycle of cleaning process and then examined through SEM review whether carbon was removed by each chemical formulation. For the reference, SPM chemical was run as acid cleaning chemical, following same cleaning process recipe. Each cleaning tests are summarized in Table 2 as below. It is confirmed that chemical formulation (I) satisfies every target specifications for EUV mask cleaning (Table 3). It shows very reliable EUV mask film loss characteristics: absorber CD change of 0.02nm, ruthenium thickness change of 0.003nm, ARC thickness change of 0.008nm, and EUV reflectivity change of 0.004% per each cycle of cleaning applied. Particle removal efficiency is good enough as 9% (72% from first evaluation turns out affected by contaminated particles during mask moving and transfer) and adders by chemicals are negligible. performance by formulation (I) was also satisfactory since it can remove easy carbon contaminant, which is better than SPM and similar to In-situ UV process performances. Table. 2. Chemical information and evaluation conditions for Semi-mass production test Chemical Formulation Temp. Item Mask info. SPM Formulation I Formulation II HZSO4+HZOZ 20 C Particle removal Chemical contamination Base B C5 + Additive A" C + Additive D" C T4 Particle removal Base B C5 + Additive A" C5 + Additive D" C5 Base B C5 + Additive A" CH + Additive D" C5 Base B C5 + Additive A" CH + Additive D" Cc T3 T4 T3 Chemical contamination Particle removal Chemical contamination Particle removal Chemical contamination Particle removal MI Chemical contamination ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask Cleaning acceleration Remark POR chemical Best result - from screening test 2nd Best result - from screening test Same tests were done at temperature T 3, a little lower than T 4. Most film loss results are excellent especially with tremendous pattern CD outcomes (almost no change!). As expected, capability to remove carbon contaminant is significantly reduced at lower temperature. It proves again temperature is playing a pivotal role in removal of carbon contaminant on EUV mask by activating hydroxyl ion movement and interaction with contaminants. If there is any ways to provide external energies to carbonous molecules (rather than hydroxyl ions) and thus excite them to more activated Proc. of SPIE Vol C- Downloaded From: on 0/29/205 Terms of Use:

12 and unstable state which can be further prone to interaction with hydroxyl ions, it may possible to achieve high efficiency of carbon removal even at lower temperature and eventually EUV mask film loss-free cleaning performance. For the case of chemical formulation (II), not only cleaning performances but also film losses felt short of target specifications, as shown in Table 3. Surplus amounts of additive chemical A molecules are believed to formulate micelle structures by themselves rather than adsorb on EUV mask surface or encase contaminants (carbon or SiN particles). Therefore, they can neither protect the mask surface from hydroxyl ion attack nor assist contaminant removal from mask surface. It is worth mentioning that performance of cleaning chemicals can be altered simply by changing amount of additive chemicals (only difference between formulation (I) and (II) is amount of additive chemical A ). Table. 3. Semi-mass production test result summary Ru thickness(nm) DOCDVCLN) Abs. thickness(nm) AEUVR(%) VCLN) ASEM CDVCLN) L/S(nm) CNT(nm) st PRE( %) 2nd PRE( %) Carbon Removal After cleaning sub. Ref. SPM NG NG Poor < 5ea Formulation I Ta Formulation I T3 72% 9% 7%'9% Normal Poor < 5ea < 5ea Formulation II Ta % Poor < 5ea Formulation II T % Poor < 5ea 5. CONCLUSION We have developed new cleaning chemicals which can be utilized for EUV mask cleaning by way of smart and powerful HPC screening methods. EUV mask film loss control is getting extremely important since it is highly expected to go over 50 up to 00 cleaning cycles even with or without EUV pellicle. With film loss maintained within specifications, superior cleaning efficiencies of carbon contaminants and particles need to be achieved. Such developed chemicals can be beneficial for the maintenance of EUV masks within tight specifications and elongation of their lifetime until they are used for mass production. Through this methodology, it is possible to design approach strategies, plan screening processes, and execute rapid explorations for appropriate chemical developments. It is also possible to learn more precise roles of each component and process parameter within limited development time and cost which can be hardly achieved by conventional screening methods. REFERENCES [] Shoki, T. et al. Improvement of total quality on EUV mask blanks toward volume production, Proc. SPIE 7636 (200). [2] Choi, JH. et al. "Effect of SPM-based cleaning POR on EUV mask performance." SPIE Photomask Technology. International Society for Optics and Photonics (20) [3] Lee, HS. et al. "Chemical Improvement of Euv Ruthenium Capping Layer Against Active Oxygen and Hydroxyl Radicals." ECS Transactions 58.6, 93-00(203) [4] Lee, SY. et al. "Durability of Ru-based EUV masks and the improvement." SPIE Advanced Lithography. International Society for Optics and Photonics (204) [5] Carmen, Z. et al. "Progress on EUV pellicle development." SPIE Advanced Lithography. International Society for Optics and Photonics (204) [6] Hollenshead, J. and Klebanoff, L. Modeling radiation-induced carbon contamination of extreme ultraviolet optics, J. Vac. Sci. Technol. B 24, (2006). Proc. of SPIE Vol C-2 Downloaded From: on 0/29/205 Terms of Use:

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Development Status of EUVL Blank and Substrate

Development Status of EUVL Blank and Substrate Development Status of EUVL Blank and Substrate Asahi Glass Company Toshiyuki Uno 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber 3. Integrated

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

Mask Substrate/Blank Cleaning Progress Challenges

Mask Substrate/Blank Cleaning Progress Challenges Accelerating the next technology revolution Mask Substrate/Blank Cleaning Progress Challenges Arun JohnKadaksham and Frank Goodwin SEMATECH, Albany, NY 10/06/2013 Copyright 2012 SEMATECH, Inc. SEMATECH,

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

Progress in EUV blanks development at HOYA

Progress in EUV blanks development at HOYA Progress in EUV blanks development at HOYA T. Shoki, T. Yamada*, A. Ikeda*, J. Miyagaki*, N.Tanaka*, S. Shimojima, R. Ohkubo and O. Nozawa NGL Development Center, Blanks Dev.* HOYA Corporation 1 2005 EUVL

More information

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes purify protect transport Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes 2010 International Workshop on EUV Lithography June 22-24, 2010 Annie Xia, Sr. Applications

More information

Status and Challenges in EUV Mask Cleaning

Status and Challenges in EUV Mask Cleaning MA-P03 Status and Challenges in EUV Mask Cleaning Ted Liang, Grace Ng, Guojing Zhang, Henry Yun, Ravi Jaiswal*, Intel Corporation * Summer Intern from Purdue University Takeya Shimomura, Toshiaki Motonaga

More information

Control technology of EUV Optics Contamination:

Control technology of EUV Optics Contamination: EUVL Workshop, Maui, Hawaii Wednesday, June 11, 2008 Control technology of EUV Optics Contamination: Modeling, mitigation and cleaning for lifetime extension Iwao Nishiyama 1 Outline 1. Introduction Requirement

More information

Pattern Dependent Satellite Defects in Via Lithography

Pattern Dependent Satellite Defects in Via Lithography Pattern Dependent Satellite Defects in Via Lithography Chih-Chieh Yu*, Mars Yang, Elvis Yang, T. H. Yang, K. C. Chen and Chih-Yuan Lu Macronix International Co. Ltd, No. 16, Li-Hsin Rd., Science Park,

More information

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Christopher G. Morgan, David Varley, Ewa Kosmowska, and Ronald Vane XEI Scientific, Inc., Redwood City, CA,

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden Dr. Uwe Dersch AMTC RD-TD Advanced Mask Technology Center GmbH & Co. KG. History and motivation Integrated process

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Low energy electron bombardment induced surface contamination of Ru mirrors

Low energy electron bombardment induced surface contamination of Ru mirrors Low energy electron bombardment induced surface contamination of Ru mirrors A. Al-Ajlony a, A. Kanjilal a, M. Catalfano a,s. S. Harilal a, A. Hassanein a and B. Rice b a Center for Materials Under Extreme

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

RUTHENIUM CAPPING LAYER PRESERVATION FOR 100X CLEAN THROUGH PH DRIVEN EFFECTS

RUTHENIUM CAPPING LAYER PRESERVATION FOR 100X CLEAN THROUGH PH DRIVEN EFFECTS RUTHENIUM CAPPING LAYER PRESERVATION FOR 100X CLEAN THROUGH PH DRIVEN EFFECTS Davide Dattilo SUSS MicroTec Photomask Equipment Germany Uwe Dietze SUSS MicroTec Photomask Equipment Germany Jyh-Wei Hsu SUSS

More information

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM. A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM. Neal Sullivan, Tung Mai, Scott Bowdoin* and Ronald Vane** A poster paper presented at Microscopy

More information

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors& ! Basic&Laboratory&! Materials&Science&and&Engineering& Etching&of&Semiconductors& M104&!!!as!of:!31.10.2013!! Aim: To gain a basic understanding of etching techniques, characterization, and structuring

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Heat-Resistant Thin Optical Fiber for Sensing in High-Temperature Environments

Heat-Resistant Thin Optical Fiber for Sensing in High-Temperature Environments INFORMATION & COMMUNICATIONS Heat-Resistant Thin Optical Fiber for Sensing in High-Temperature Environments Kazuyuki SOHMA* and Tomoyuki HATTORI We have developed a new heat-resistant optical fiber coated

More information

Oxidation Reactions. This oxide will from only if thermodynamics favour a reaction of the form: M + O 2 = MO 2. Which must form rapidly (favourable(

Oxidation Reactions. This oxide will from only if thermodynamics favour a reaction of the form: M + O 2 = MO 2. Which must form rapidly (favourable( Oxidation of s Oxidation is a general term used to define the reaction between a metal or alloy and its environment. s or alloys are oxidised when heated to elevated temperatures es in air or highly oxidised

More information

Optimizing spray coater process parameters

Optimizing spray coater process parameters Optimizing spray coater process parameters Dr. Eleonora Storace, Florian Palitschka, Dr. Dietrich Tönnies SUSS MicroTec Lithography GmbH Germany Published in the SUSS report 01/2014 E-mail: info@suss.com

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Introduction Guillermo Acosta, Dr. David Allred, Dr, Steven Turley Brigham Young University

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Improving material-specific dispense processes for low-defect coatings

Improving material-specific dispense processes for low-defect coatings Improving material-specific dispense processes for low-defect coatings Nick Brakensiek *a, Jennifer Braggin b, John Berron a, Raul Ramirez b, Karl Anderson b, Brian Smith a a Brewer Science, Inc., 2401

More information

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Choi, Jun-Hyuk Korea Institute of Machinery & Materials The 11 th US-Korea Nanosymposium Choi, Jun-Hyuk 2014. 09. 29 Korea Institute of Machinery & Materials About KIMM Nano-research Bldg Clean RM Five Research Divisions; 1. Advanced Manufacturing Sys. 2. Extreme

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Simple Cubic Crystal

Simple Cubic Crystal Starting Material Simple Cubic Crystal Crystal Planes offset by d/4 Diamond lattice cell (C, Si, Ge, etc.) face atom in FCC corner atom in FCC (100) plane (110) plane (111) plane Crystal Planes/Direction

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

Microbridge reduction in negative tone imaging at photoresist pointof-use

Microbridge reduction in negative tone imaging at photoresist pointof-use Microbridge reduction in negative tone imaging at photoresist pointof-use filtration Toru Umeda* a, Tsukasa Yamanaka b, Naoya Iguchi b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi,

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Water Vapor and Carbon Nanotubes

Water Vapor and Carbon Nanotubes Water Vapor and Carbon Nanotubes Published technical papers on carbon nanotube fabrication point out the need to improve the growth rate and uniformity of Carbon Nanotubes. CNT faces major hurdles in its

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source Brian E. Jurczyk* a, Darren A. Alman a, Erik L. Antonsen a, Michael A. Jaworski a, Michael J.

More information

SiO 5 COATING SYSTEM FOR CARBON/CARBON COMPOSITES

SiO 5 COATING SYSTEM FOR CARBON/CARBON COMPOSITES PERFORMANCES OF COATING SYSTEM FOR CARBON/CARBON COMPOSITES Masayuki Kondo, Yuzuru Ogura, and Tatsuo Morimoto Advanced Technology Research Center, Mitsubishi Heavy Industries, Ltd., 1-8-1, Sachiura, Kanazawa-ku,

More information

AAO MCP Substrate Development at ANL. High Energy Physics Division, Materials Science Division Argonne National Laboratory Friday, June 11, 2010

AAO MCP Substrate Development at ANL. High Energy Physics Division, Materials Science Division Argonne National Laboratory Friday, June 11, 2010 AAO MCP Substrate Development at ANL Seon W. Lee and H. Hau Wang High Energy Physics Division, Materials Science Division Argonne National Laboratory Friday, June 11, 2010 Contents What is AAO? Advantage

More information

Area-selective atomic layer deposition for self-aligned fabrication

Area-selective atomic layer deposition for self-aligned fabrication Area-selective atomic layer deposition for self-aligned fabrication Adrie Mackus Eindhoven University a.j.m.mackus@tue.nl Area-selective ALD for bottom-up processing Top-down Bottom-up Building technology

More information

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013 Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells Jonathon Dore SPREE Research Seminar - 27th June, 2013 Contents Introduction motivation for thin-film Thin-film PV technologies Diode laser

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Platypus Gold Coated Substrates. Bringing Science to the Surface

Platypus Gold Coated Substrates. Bringing Science to the Surface Platypus Gold Coated Substrates Bringing Science to the Surface Overview Gold Coated Substrates - Gold Coating Introduction - Glossary of Terms - Gold Coating Methods - Critical Features Platypus Gold

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Synthesis of diamond-like carbon films with super-low friction and wear properties

Synthesis of diamond-like carbon films with super-low friction and wear properties Synthesis of diamond-like carbon films with super-low friction and wear properties MSE 676 All Things Carbon / 09-29-2009 A. Erdemir, O.L. Eryilmaz, and G. Fenske J. Vac. Sci. Technol. A 18(4), Jul/Aug

More information

FORMATION OF TiO 2 THIN FILM BY ION-BEAM-MIXING METHOD AND ITS APPLICATION AS THE CORROSION PROTECTING FILM

FORMATION OF TiO 2 THIN FILM BY ION-BEAM-MIXING METHOD AND ITS APPLICATION AS THE CORROSION PROTECTING FILM ORAL REFERENCE:ICF100266OR FORMATION OF TiO 2 THIN FILM BY ION-BEAM-MIXING METHOD AND ITS APPLICATION AS THE CORROSION PROTECTING FILM Yuji KIMURA 1 and Hirotsugu SAITO 1 1 Dept. of Materials Science and

More information

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks 9-11 April 8 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks R. F. Shyu 1, H. Yang, J.-H. Lee 1 Department of Mechanical Manufacturing Engineering, National Formosa University, Yunlin,

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

is kept at a minimum with low power densities of less than 50 mw/cm 2.

is kept at a minimum with low power densities of less than 50 mw/cm 2. Production Performance Success with a High Throughput PECVD System David Lishan 1, Ken Mackenzie 1, Mike Fresina 2, Doug Wend 2, John Erickson 2, and Dave Johnson 1 1 Unaxis Wafer Processing, St. Petersburg,

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information

Lifetime Enhancement and Low-Cost Technology Development for High-Efficiency Manufacturable Silicon Solar Cells. A. Rohatgi, V. Yelundur, J.

Lifetime Enhancement and Low-Cost Technology Development for High-Efficiency Manufacturable Silicon Solar Cells. A. Rohatgi, V. Yelundur, J. Lifetime Enhancement and Low-Cost Technology Development for High-Efficiency Manufacturable Silicon Solar Cells A. Rohatgi, V. Yelundur, J. Jeong University Center of Excellence for Photovoltaics Research

More information

IMRE/ETPL Flagship Project

IMRE/ETPL Flagship Project IMRE/ETPL Flagship Project Nanoparticulate Barrier Films & Gas Permeation Measurement Techniques for Thin Film Solar & Display Application Problems Senthil Ramadas Institute of Materials Research & Engineering

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Remote Plasma Source Chamber Anodization

Remote Plasma Source Chamber Anodization Remote Plasma Source Chamber Anodization SUPERIOR ANODIC COATINGS IN THE XSTREAM RPS CHAMBER ENSURE RELIABLE, PARTICULATE-REE CHAMBER CLEANING Created by Advanced Energy Industries, Inc. Abstract Most

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Hengda Zhang Anthony Githinji 1. Background RuO2 in both crystalline and amorphous forms is of crucial importance for theoretical as

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

ALD Film Characterization Rachel Brown 5/13/14

ALD Film Characterization Rachel Brown 5/13/14 ALD Film Characterization Rachel Brown 5/13/14 Objective The following set of tests was performed to determine the characteristics of films created by the Atomic Layer system and how they varied with the

More information

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test Materials Transactions, Vol. 52, No. 3 (2011) pp. 464 to 468 #2011 The Japan Institute of Metals Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated

More information

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II) Study of ADI (After Develop Inspection) n Photo Resist Wafers Using Electron Beam (II) Teruyuki Hayashi a, Misako Saito a, Kaoru Fujihara a,setsuko Shibuya a,y.kudou a,hiroshi Nagaike b Joseph Lin c,jack

More information

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1 (19) United States US 2006O134920A1 (12) Patent Application Publication (10) Pub. No.: US 2006/0134920 A1 Liang (43) Pub. Date: Jun. 22, 2006 (54) PASSIVATING METAL ETCH STRUCTURES (52) U.S. Cl.... 438/710;

More information

TED PELLA, INC. Microscopy Products for Science and Industry

TED PELLA, INC. Microscopy Products for Science and Industry PELCO SILICON NITRIDE, SILICON DIOXIDE, BLANK SILICON SUBSTRATES & APERTURES FOR TEM Clean, Debris-free with Exact 3mm TEM Frame and EasyGrip Edges PELCO Silicon Nitride Support Films for TEM Hydrophilic

More information

Photoresist and Process Issues on 193nm Immersion Lithography

Photoresist and Process Issues on 193nm Immersion Lithography Photoresist and Process Issues on 193nm Immersion Lithography Jin-Young Yoon, Jung-Hwan Hah, Yun-Kyung Jang, Mitsuhiro Hata, Hyung-Rae Lee, Chan Hwang, Young-Jae Jung, Shi-Yong Lee, Yool Kang, Hyun-Woo

More information