PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS

Size: px
Start display at page:

Download "PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS"

Transcription

1 PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS Heather Brown and Brian Hesse IEE 572 December 5, 2000 The tungsten chemical vapor deposition (WCVD) process has been used in the semiconductor industry for many years. WCVD is primarily used to fill interconnects such as contacts and vias. Tungsten deposition occurs according to the following reaction: WF 6 + H 2 W + 6HF (1) Interconnects are needed for electrical connection of different device layers that are separated by insulating layers. An example would be connecting two metal layers that are separated by an oxide layer. The tungsten fills the via or hole that links the second layer metal to the first layer metal. The objective of the process is to entirely fill the via with tungsten; otherwise the device could short when voltage is applied. In the CVD process, tungsten is applied to the wafer as a planar film and then selectively removed using chemical mechanical polishing (CMP) so that only the plugs remain. RECOGNITION OF AND STATEMENT OF THE PROBLEM Recently Microchip Technology has acquired a Novellus CVD tool for applying blanket tungsten layers to be used for interconnects. The vendor calibrated the system based on a standard Novellus process flow. This standard flow is based on the WCVD performance needs of the average customer and may require changes for Microchip s process specifications. Thus, before this CVD tool can be used in manufacturing, it is important to identify the key factors for optimized performance. CHOICE OF FACTORS, LEVELS, AND RANGE There are numerous different parameters that can be varied on a CVD tool, such as backside gas flow, deposition time, process pressure, flow rates, temperature, etc. It was decided that the H 2 and WF 6 flow rates, temperature, and via size have the greatest impact on plug fill. These factors were varied at a high and a low level as shown in Table 1. The depth of the vias will be 1200 nm.

2 Table 1. Factor Values Factor Low High H 2 flow rate 5000 sccm 10000sccm WF 6 flow rate 100 sccm 400 sccm Temperature 400ºC 445ºC Via Size 0.2 µm 0.4 µm Relative humidity, time of day the tool is operated, and operator are factors that will impact performance, but are uncontrollable and will be treated as nuisance variables. All other tool settings will be held constant at values suggested by Novellus. SELECTION OF THE RESPONSE VARIABLE The most important response variable that will be monitored is fill height. Conformal tungsten fill in a contact or via, in which a uniform film thickness is deposited on both the horizontal and vertical surfaces, is desirable since the purpose of the interconnect is to link metal layers. If each chip on a given wafer is to operate identically, it is necessary to have uniform plugs. Thus, a uniform layer of tungsten is needed. Incomplete or nonconformal plug filling could cause a void between metal lines. A void can then lead to high resistance and can trap chemicals in a plug during CMP, which can result in reliability problems. The fill height measurements are determined by cleaving the wafer and looking at plug cross-sections using a scanning electron microscope (SEM). The fill height measurements take into account the uniformity of the deposited tungsten layer in the plug. A visual representation of a plug cross-section and the concept of fill height are shown in Fig. 1. FIGURE 1: TUNGSTEN CVD PLUG CROSS SECTIONAL VIEW

3 CHOICE OF EXPERIMENTAL DESIGN An optimization experiment was chosen in order to identify the key factors involved with the fill height in CVD tungsten deposition. The four factors considered in the design were H 2 flow, WF 6 flow, temperature, and via size. It was decided that one replicate of a full factorial would be ran due to the limited number of wafers for the experiment. If a full factorial were ran, this would only require 8 runs because each wafer will have both 0.2 and 0.4 µm via sizes. If sixteen runs (and wafers) were performed with only one via size per wafer, this could create misleading results due to the wafer-to-wafer uniformity in the film. Table 2 provides the test matrix for this experiment. Table 2: Test Matrix Run Factor 1 Factor 2 Factor Factor 4 Response 1 WF6 Flowrate H2 Flowrate Via Size ( µ m) Temperature (C) Fill Height (nm) (sccm) (sccm) After running the proposed experiment, the effects of tungsten and hydrogen flowrates, temperature, and via size on fill height will be known. Using this information, a more precise experiment can be designed to properly optimize the factors found to be influential on the response variables. ANALYSIS Table 2: Fill Height ANOVA Summary Factor Effect Estimate P-value A-WF6 flowrate 7 < D-temperature AD

4 One of the most important aspects of WCVD is fill height of the plug. Table 2 represents the summary of the ANOVA table that was produced from running the design in Design- Expert. The complete ANOVA table can be found in Appendix A, which after being examined, it was obvious that the WF 6 flow rate and temperature were the important factors. In addition, it appears that the AD interaction is important, however, it is possible that this is due to the influence of the main effects. From the effects estimate, it is clear that increasing the WF 6 flow rate has a positive effect on the fill height, where as increasing the temperature has a negative effect. The WF 6 flow rate has a larger effect than temperature, and when adding the two main effects together, this gives the AD effect estimate, which confirms that the large AD effect is most likely due to the main effects. The normal probability plot of the studentized residuals is shown in Fig. 2. Analysis of the figure by means of the fat pencil test shows that the data is indeed normal. There are slight deviations from normality, but that is expected, so the data is normal. Figure shows the half-normal plot where our previous conclusion that was drawn from the ANOVA table that WF 6 flow and temperature are the most important factors is confirmed. Figure 2: Fill Height Normal Plot DESIGN-EXPERT Plot Fill hieght Figure : Fill height Half Normal plot No rm al % pro ba bili ty A: WF6 flowrate B: H2 flowrate C: Via Size D: temperature Ha lf No rm al % pro ba bili ty AD D A Studentized Residuals Effect

5 From analysis of Fig. 4 and 5, the WF 6 flow rate effect is positive and the temperature effect is negative, therefore to maximize fill height, WF 6 should be run at the high level and temperature at the low level. The temperature and WF 6 flow rate interaction is plotted in Fig. 6, which confirms that the fill height is maximized when the temperature is low and the WF 6 flow rate is at the high level. The residual vs. predicted plot is shown in Fig. 7. For the predicted value of zero the residual is also zero, because zero represents no plug fill. The predicted value for no plug fill is zero and the actual value of the residual is also zero. For the higher predicted values where there is variance, it appears that the residuals are randomly distributed. The residuals were also analyzed against the different factor levels. Figures 8 and 9 show the residuals plotted versus high and low levels of temperature and WF 6 flow rate. From these figures it was concluded that the value of the residual does not change based on the value of temperature or WF 6 flow rate. Similar plots were analyzed for the other factors and the same conclusion was reached, but since the other factors were not significant the plots have not been included. Conclusions Unfortunately, this design did not yield just one optimal solution for the problem of plug fill. Two of the eight different conditions investigated gave complete fill of the 0.2 and 0.4 micron plugs. From table 2, runs 4 and 7 gave optimal solutions leaving no way to differentiate between the two different runs. Both of these runs had WF 6 and H 2 flow rate at the high levels, but the temperature was different. The model indicated that temperature was significant in determining fill height, however it does not appear to be true in this case. The problem here is that there is no way to determine if deeper plugs could have been filled. If the experiment had been set up with plugs deeper than 1200 nm it would have helped to determine if the high or low temperature was better. From other runs it does appear that the higher temperature is better, but that may not hold for these runs. But after analyzing the data, it would make sense to run the WCVD process conditions at the low H 2 flow of 5000 sccm, the high WF 6 flow of 400 sccm, and the high temperature of 445ºC (Run 7) instead of the conditions in Run 4 since running at a lower H 2 flow would be more cost effective than running at a higher H 2 flow at a reduced temperature.

6 Figure 4: WF6 effect plot Figure 5: Temperature effect plot Fill height Fill height A: WF6 flowrate D: temperature Fill hieght X = A: WF6 flowrate Y = D: temperature D D Figure 6: Interaction Graph D: temperature Fill hie ght A: WF6 flowrate

7 Figure 7: Residuals vs. Predicted.00 Figure 8: Residuals vs. temperature.00 St ud ent ize d Re sid ual s St ud ent ize d Re sid ual s Predicted temperature Figure 9: Residuals vs. WF6 flowrate.00 St ud ent ize d Re sid ual s WF6 flowrate

8 APPENDIX A: Fill Height Response: Fill hieght ANOVA for Selected Factorial Model Analysis of variance table [Partial sum of squares] Sum of Mean F Source Squares DF Square Value Prob > F Model.71E E < significant A2.226E E < D6.964E E AD4.489E E Residual 2.989E Cor Total.670E The Model F-value of implies the model is significant. There is only a 0.01% chance that a "Model F-Value" this large could occur due to noise. Values of "Prob > F" less than indicate model terms are significant. In this case A, D, AD are significant model terms. Values greater than indicate the model terms are not significant. If there are many insignificant model terms (not counting those required to support hierarchy), model reduction may improve your model. Std. Dev R-Squared Mean Adj R-Squared C.V Pred R-Squared PRESS 5.1E+005 Adeq Precision The "Pred R-Squared" of is in reasonable agreement with the "Adj R-Squared" of "Adeq Precision" measures the signal to noise ratio. A ratio greater than 4 is desirable. Your ratio of indicates an adequate signal. This model can be used to navigate the design space. Coefficient Standard 99% CI 99% CI Factor Estimate DF Error Low High VIF Intercept A-WF6 flowrate D-temperature AD Final Equation in Terms of Coded Factors: Fill hieght = * A * D * A * D

9

Thin Nitride Measurement Example

Thin Nitride Measurement Example Thin Nitride Measurement Example GOAL: Get the most information from your data and analyze it properly to make the right decisions! Look at the data in multiple ways to understand your process better.

More information

Research Article Chip Thickness and Microhardness Prediction Models during Turning of Medium Carbon Steel

Research Article Chip Thickness and Microhardness Prediction Models during Turning of Medium Carbon Steel Hindawi Publishing Corporation Journal of Applied Mathematics Volume 2007, Article ID 51905, 12 pages doi:10.1155/2007/51905 Research Article Chip Thickness and Microhardness Prediction Models during Turning

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

An Efficient Optimization of Materiel Removal Rate For Wire Cut Electro Discharge Machining In S2 Steel Using Response Surface Methodology

An Efficient Optimization of Materiel Removal Rate For Wire Cut Electro Discharge Machining In S2 Steel Using Response Surface Methodology RESEARCH ARTICLE OPEN ACCESS An Efficient Optimization of Materiel Removal Rate For Wire Cut Electro Discharge Machining In S2 Steel Using Response Surface Methodology Dr.Praveen.T Professor,Department

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

Experimental investigation on Fatigue and Impact Strength of MONEL 400 to AISI 304 joints by TIG welding

Experimental investigation on Fatigue and Impact Strength of MONEL 400 to AISI 304 joints by TIG welding IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 07, Issue 08 (August. 2017), V1 PP 32-39 www.iosrjen.org Experimental investigation on Fatigue and Impact Strength of

More information

Optimization by RSM of Reinforced Concrete Beam Process Parameters

Optimization by RSM of Reinforced Concrete Beam Process Parameters American Journal of Mechanical Engineering, 2018, Vol. 6, No. 2, 66-74 Available online at http://pubs.sciepub.com/ajme/6/2/5 Science and Education Publishing DOI:10.12691/ajme-6-2-5 Optimization by RSM

More information

ALD Film Characterization Rachel Brown 5/13/14

ALD Film Characterization Rachel Brown 5/13/14 ALD Film Characterization Rachel Brown 5/13/14 Objective The following set of tests was performed to determine the characteristics of films created by the Atomic Layer system and how they varied with the

More information

Effect of Cutting Parameters in Precision Turning of Nickel Alloy by RSM

Effect of Cutting Parameters in Precision Turning of Nickel Alloy by RSM Effect of Cutting Parameters in Precision Turning of Nickel Alloy by RSM 1 K. A. Jagtap, 2 V. S. Patil, 3 S. S. Panpatil 1 Lecturer, Dept. of ME, Government Polytechnic Vikramgad, Maharashtra, India 2

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Experimental Study on Electrical-Discharge Machining of Cobalt Bonded Tungsten Carbides using Graphite Electrodes

Experimental Study on Electrical-Discharge Machining of Cobalt Bonded Tungsten Carbides using Graphite Electrodes International Conference on Multidisciplinary Research & Practice P a g e 51 Experimental Study on Electrical-Discharge Machining of Cobalt Bonded Tungsten Carbides using Graphite Electrodes S.N.Mehta

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics

Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics Michael Girardi, Daric Laughlin, Philip Abel, Steve Goldammer, John Smoot NNSA s Kansas City Plant managed by Honeywell

More information

Timing Production Runs

Timing Production Runs Class 7 Categorical Factors with Two or More Levels 189 Timing Production Runs ProdTime.jmp An analysis has shown that the time required in minutes to complete a production run increases with the number

More information

EVALUATION OF GROUNDNUT LEAVES EXTRACT AS CORROSION INHIBITOR ON MILD STEEL IN 1M SULPHURIC ACID USING RESPONSE SURFACE METHODOLOGY (RSM)

EVALUATION OF GROUNDNUT LEAVES EXTRACT AS CORROSION INHIBITOR ON MILD STEEL IN 1M SULPHURIC ACID USING RESPONSE SURFACE METHODOLOGY (RSM) International Journal of Mechanical Engineering and Technology (IJMET) Volume 9, Issue 11, November 2018, pp. 829 841, Article ID: IJMET_09_11_084 Available online at http://www.iaeme.com/ijmet/issues.asp?jtype=ijmet&vtype=9&itype=11

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Modeling and analysis by response surface methodology of hardness for submerged arc welded joints using developed agglomerated fluxes

Modeling and analysis by response surface methodology of hardness for submerged arc welded joints using developed agglomerated fluxes Indian Journal of Engineering & Materials Sciences Vol. 19, December 2012, pp. 379-385 Modeling and analysis by response surface methodology of hardness for submerged arc welded joints using developed

More information

Design of Experiments (DOE) Instructor: Thomas Oesterle

Design of Experiments (DOE) Instructor: Thomas Oesterle 1 Design of Experiments (DOE) Instructor: Thomas Oesterle 2 Instructor Thomas Oesterle thomas.oest@gmail.com 3 Agenda Introduction Planning the Experiment Selecting a Design Matrix Analyzing the Data Modeling

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Despite Hype, Atomic Layer Deposition Shows Promise

Despite Hype, Atomic Layer Deposition Shows Promise Research Brief Despite Hype, Atomic Layer Deposition Shows Promise Abstract: Surrounded by hype, ALD is emerging as a promising new deposition technology for both dielectric and metal films, with applications

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

RESPONSE SURFACE METHODOLOGY IN FINISH TURNING INCONEL 718

RESPONSE SURFACE METHODOLOGY IN FINISH TURNING INCONEL 718 RESPONSE SURFACE METHODOLOGY IN FINISH TURNING INCONEL 718 M. Aruna 1 Department of Mechanical Engineering, Velammal College of Engineering and Technology, Madurai, India. Dr. V. Dhanalakshmi 2 Department

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/term

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Upper Canopy module Fixed Area Plot Summary and beyond!

Upper Canopy module Fixed Area Plot Summary and beyond! Upper Canopy module Fixed Area Plot Summary and beyond! Eight standard steps to process live upper canopy (tree) inventory data: 0. Complete your data by calculating heights for trees w/ height data 1.

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Effect of Feature Dimensions/Spacing and Dielectric Thickness on CMP Process Performance

Effect of Feature Dimensions/Spacing and Dielectric Thickness on CMP Process Performance Effect of Feature Dimensions/Spacing and Dielectric Thickness on CMP Process Performance Andrey Zagrebelny*, Steven Hedayati, Frederick Eisenmann, Yitzhak Gilboa, Cypress Semiconductor Inc. 1 Abstract

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion Semiconductor Industry Gas Filtration Update Corrosive gases are easily handled with all Hastelloy gas filters from Mott. Today, Mott is the premier supplier of Hastelloy-based filters to the semiconductor

More information

CHAPTER 5 INVESTIGATION ON DRILLING CHARACTERISTICS OF HYBRID COMPOSITES

CHAPTER 5 INVESTIGATION ON DRILLING CHARACTERISTICS OF HYBRID COMPOSITES CHAPTER 5 INVESTIGATION ON DRILLING CHARACTERISTICS OF HYBRID COMPOSITES 5.1 Introduction This chapter presents the experimental work carried out with different cutting parameters in drilling to evaluate

More information

Investigating the Effect of Welding Parameters on Weld Bead Geometry in Submerged Arc Welding by using Response Surface Methodology

Investigating the Effect of Welding Parameters on Weld Bead Geometry in Submerged Arc Welding by using Response Surface Methodology ISSN: 2454-2377, Investigating the Effect of Welding Parameters on Weld Bead Geometry in Submerged Arc Welding by using Response Surface Methodology Ajay Saini 1, Arashdeep Singh 2 1 Research Scholar,

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Effect of laser-welding parameters on the heat input and weld-bead profile

Effect of laser-welding parameters on the heat input and weld-bead profile Effect of laser-welding parameters on the heat input and weld-bead profile K. Y. Benyounis *, A. G. Olabi and M. S. J. Hashmi School of Mechanical and Manufacturing Engineering. Dublin City University,

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

Hot-wire deposited intrinsic amorphous silicon

Hot-wire deposited intrinsic amorphous silicon 3 Hot-wire deposited intrinsic amorphous silicon With the use of tantalum as filament material, it is possible to decrease the substrate temperature of hot-wire deposited intrinsic amorphous silicon, while

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Design of Experiment. Jill Williams and Adam Krinke. Fuel Cell Project

Design of Experiment. Jill Williams and Adam Krinke. Fuel Cell Project Design of Experiment Jill Williams and Adam Krinke Fuel Cell Project Spring 2005 Introduction The Proton Exchange Membrane (PEM) fuel cell requires a relatively stringent environment for operation. The

More information

Understanding and accounting for product

Understanding and accounting for product Understanding and Modeling Product and Process Variation Variation understanding and modeling is a core component of modern drug development. Understanding and accounting for product and process variation

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Design of Experiments Approach for Improving Wire Bonding Quality

Design of Experiments Approach for Improving Wire Bonding Quality Design of Experiments Approach for Improving Wire Quality Worlaluck Satianrangsarith and Mongkol Tirakanogsathit Abstract This study concerned with the use Experimental Design to analyze the quality problem

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Optimization and Statistical Analysis of Machining Parameters for Tool Wear Rate on EN-19 Alloy Steel

Optimization and Statistical Analysis of Machining Parameters for Tool Wear Rate on EN-19 Alloy Steel Optimization and Statistical Analysis of Machining Parameters for Tool Wear Rate on EN-9 Alloy Steel Abstract Manish Vishwakarma Mechanical Engineering Department, M.A.N.I.T, Bhopal-5 (India) manishvishwa88@gmail.com

More information

4 Image Analysis of plastic deformation in the fracture of paper

4 Image Analysis of plastic deformation in the fracture of paper 4 Image Analysis of plastic deformation in the fracture of paper 4.1 Introduction As detailed in Chapter 2, one of the fundamental problems that arises in the estimation of the fracture toughness of an

More information

Experimental Design Day 2

Experimental Design Day 2 Experimental Design Day 2 Experiment Graphics Exploratory Data Analysis Final analytic approach Experiments with a Single Factor Example: Determine the effects of temperature on process yields Case I:

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Cal-Chip Electronics, Incorporated Thick Film Chip Resistors - RM Series

Cal-Chip Electronics, Incorporated Thick Film Chip Resistors - RM Series Thick Film Chip Resistors - RM Series Fixed Chip Resistors manufactured for more compact electronic components and automatic mounting system. These Chip Resistors have electrical stability and mechanical

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

EXPERIMENTAL INVESTIGATIONS ON FRICTION WELDING PROCESS FOR DISSIMILAR MATERIALS USING DESIGN OF EXPERIMENTS

EXPERIMENTAL INVESTIGATIONS ON FRICTION WELDING PROCESS FOR DISSIMILAR MATERIALS USING DESIGN OF EXPERIMENTS 137 Chapter 6 EXPERIMENTAL INVESTIGATIONS ON FRICTION WELDING PROCESS FOR DISSIMILAR MATERIALS USING DESIGN OF EXPERIMENTS 6.1 INTRODUCTION In the present section of research, three important aspects are

More information

GAS METAL ARC WELDING OF ADVANCED HIGH STRENGTH STEEL DEVELOPMENTS FOR OPTIMIZED WELD CONTROL AND IMPROVED WELD QUALITY

GAS METAL ARC WELDING OF ADVANCED HIGH STRENGTH STEEL DEVELOPMENTS FOR OPTIMIZED WELD CONTROL AND IMPROVED WELD QUALITY GAS METAL ARC WELDING OF ADVANCED HIGH STRENGTH STEEL DEVELOPMENTS FOR OPTIMIZED WELD CONTROL AND IMPROVED WELD QUALITY Adrian N. A. Elliott Advanced Body Construction Manufacturing & Processes Department

More information

IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 09, 2015 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 09, 2015 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 09, 2015 ISSN (online): 2321-0613 Selection of Optimal Process Parameters in Machining Aerospace Material by Wire Electric

More information

CMP Process Development for Shallow Trench Isolation (STI)

CMP Process Development for Shallow Trench Isolation (STI) CMP Process Development for Shallow Trench Isolation (STI) Robert A. Seifridge Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract Tool characterization and optimization

More information

Scanning thermal microscopy probe capable of simultaneous electrical imaging and the addition of a diamond tip

Scanning thermal microscopy probe capable of simultaneous electrical imaging and the addition of a diamond tip Scanning thermal microscopy probe capable of simultaneous electrical imaging and the addition of a diamond tip E Brown, L Hao, D C Cox and J C Gallop National Physical Laboratory, Hampton Road, Teddington,

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

FESEM Analysis of BPSG Films After Reflow

FESEM Analysis of BPSG Films After Reflow Chiang Mai J. Sci. 2007; 34(1) 35 Chiang Mai J. Sci. 2007; 34(1) : 35-46 www.science.cmu.ac.th/journal-science/josci.html Contributed Paper FESEM Analysis of BPSG Films After Reflow Uda Hashim*, Nik H.N.

More information

Progress Report on Investigation of the Penetration Depth of Maxon CRS in Metal

Progress Report on Investigation of the Penetration Depth of Maxon CRS in Metal Progress Report on Investigation of the Penetration Depth of Maxon CRS in Metal Prepared by Wesley Powell, MS Mohammad Noori Eltahry Elghandour Submitted to Mr. Isaac Zharoni President Maxon Technologies

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

A Study on Effect of EDM Process Parameters on AISI 304L Stainless Steel

A Study on Effect of EDM Process Parameters on AISI 304L Stainless Steel 5 th International & 26 th All India Manufacturing Technology, Design and Research Conference (AIMTDR 2014) December 12 th 14 th, 2014, IIT Guwahati, Assam, India A Study on Effect of EDM Process Parameters

More information

Examples of dry etching and plasma deposition at Glasgow University

Examples of dry etching and plasma deposition at Glasgow University Examples of dry etching and plasma deposition at Glasgow University Glasgow has pioneered and established many novel research activities involving the development of new dry etch processes and dry etch

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

(12) United States Patent (10) Patent No.: US 6,936,544 B2

(12) United States Patent (10) Patent No.: US 6,936,544 B2 USOO693654.4B2 () United States Patent (10) Patent No.: US 6,936,544 B2 Huang et al. (45) Date of Patent: Aug. 30, 2005 (54) METHOD OF REMOVING METAL ETCHING (56) References Cited RESIDUES FOLLOWING A

More information

Scanning Electron Microscope & Surface Analysis. Wageningen EM Centre Marcel Giesbers

Scanning Electron Microscope & Surface Analysis. Wageningen EM Centre Marcel Giesbers Scanning Electron Microscope & Surface Analysis Wageningen EM Centre Marcel Giesbers Scanning Electron Microscope & Surface Analysis SEM vs Light Microscope and Transmission EM Secondary Electron Imaging

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS

TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS viii TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. ABSTRACT LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS iii xv xx xxiii 1 INTRODUCTION 1 1.1 GENERAL 1 1.2 NEED FOR THE PRESENT STUDY 4 1.3 OBJECTIVES

More information

Verification of thickness and surface roughness of a thin film transparent coating

Verification of thickness and surface roughness of a thin film transparent coating Verification of thickness and surface roughness of a thin film transparent coating K. Mohaghegh 1, H.N. Hansen 1, H. Pranov 2, G. Kofod 2 1 Technical University of Denmark, Denmark 2 InMold Biosystems,

More information

Product and Process Improvement Through The Use of Taguchi Method (TM)

Product and Process Improvement Through The Use of Taguchi Method (TM) Product and Process Improvement Through The Use of Taguchi Method (TM) Presented By Jafri Mohd. Rohani Department of Manufacturing and Industrial Engineering, Faculty of Mechanical Engineering Universiti

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

DEVELOPING EMPIRICAL RELATIONSHIPS TO PREDICT WELD BEAD GEOMETRY OF SHIELDED METAL ARC WELDING

DEVELOPING EMPIRICAL RELATIONSHIPS TO PREDICT WELD BEAD GEOMETRY OF SHIELDED METAL ARC WELDING DEVELOPING EMPIRICAL RELATIONSHIPS TO PREDICT WELD BEAD GEOMETRY OF SHIELDED METAL ARC WELDING S. M. Ravikumar and P. Vijian Department of Mechanical Engineering, M.A.M. College of Engineering, Trichy,

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

INVESTIGATION OF PROCESS PARAMETERS CONTRIBUTION AND THEIR MODELING IN WEDM FOR D-2 TOOL STEEL USING ANOVA

INVESTIGATION OF PROCESS PARAMETERS CONTRIBUTION AND THEIR MODELING IN WEDM FOR D-2 TOOL STEEL USING ANOVA G.J. E.D.T., Vol. (3) 013:41-46 ISSN: 319 793 INVESTIGATION OF PROCESS PARAMETERS CONTRIBUTION AND THEIR MODELING IN WEDM FOR D- TOOL STEEL USING ANOVA Lokesh Goyat 1, Rajesh Dudi & Neeraj Sharma 3 1 M.Tech

More information

An Alternative Approach to Filled -- Via Processing. Sandia National Laboratories PO Box 5800 Albuquerque, N.M , MS Key Collaborators:

An Alternative Approach to Filled -- Via Processing. Sandia National Laboratories PO Box 5800 Albuquerque, N.M , MS Key Collaborators: An Alternative Approach to Filled -- Via Processing Sandia National Laboratories PO Box 5800 Albuquerque, N.M. 87185, MS 1084 Anthony J. Farino -- Member of Technical Staff Key Collaborators: Dale Heatherington

More information

Ph.D. Environmental Engineering Candidate School of Civil Engineering, Universiti Sains Malaysia

Ph.D. Environmental Engineering Candidate School of Civil Engineering, Universiti Sains Malaysia Optimization of NaOH thermo-chemical pretreatment process for enhancing solubilization of rice straw by Response Surface Methodology in order to prevention of water resource pollution Ph.D. Environmental

More information

y x where x age and y height r = 0.994

y x where x age and y height r = 0.994 Unit 2 (Chapters 7 9) Review Packet Answer Key Use the data below for questions 1 through 14 Below is data concerning the mean height of Kalama children. A scientist wanted to look at the effect that age

More information

Frictional Coefficients of the Passive Titanium Surfaces Evaluated with In-situ and Ex-situ Nano-scratching Tests

Frictional Coefficients of the Passive Titanium Surfaces Evaluated with In-situ and Ex-situ Nano-scratching Tests Volume 6 Paper C097 Frictional Coefficients of the Passive Titanium Surfaces Evaluated with In-situ and Ex-situ Nano-scratching Tests M. Seo, Y. Kurata and M. Chiba Graduate School of Engineering, Hokkaido

More information

SLASH PINE SITE PREPARATION STUDY RESULTS AT AGE 11. Plantation Management Research Cooperative. Warnell School of Forest Resources

SLASH PINE SITE PREPARATION STUDY RESULTS AT AGE 11. Plantation Management Research Cooperative. Warnell School of Forest Resources SLASH PINE SITE PREPARATION STUDY RESULTS AT AGE Plantation Management Research Cooperative Warnell School of Forest Resources University of Georgia PMRC Technical Report 99- Prepared by L. V. Pienaar,

More information