FIB mask repair technology for EUV mask 1. INTRODUCTION

Size: px
Start display at page:

Download "FIB mask repair technology for EUV mask 1. INTRODUCTION"

Transcription

1 FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri*** * MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan) ** SII NanoTechnology Inc. (Japan) *** Dai Nippon Printing Co., Ltd Onogawa, Tsukuba-shi, Ibaraki-ken, Phone: Fax: amano.tsuyoshi@selete.co.jp ABSTRACT We evaluated a FIB-CVD (Focused Ion Beam-Chemical Vapor Deposition) process for repairing clear defects on EUV masks. For the CVD film, we selected Carbon material. Our simulation result showed that the properties of wafer-prints depended on the density of the carbon films deposited for repairing the clear defects. Especially, when the density of carbon film was higher than that of graphite the properties of the wafer-prints came out to be almost same as obtained from Ta-based absorbers. For CVD, in this work we employed typical carbon based precursor that has been routinely used for repairing photomask patterns. The defects created for our evaluation were line-cut defects in a hp225nm L/S pattern. The performance of defect repair was evaluated by SFET (Small Field Exposure Tool) printability test. The study showed that the focus characteristic of repaired region deteriorated as the thickness of the deposition film decreased, especially when the thickness went below the thickness of the absorber. owever, when the deposition film thickness was same as that of the absorber film, focus characteristic was found to be excellent. The study also revealed that wafer-print CDs could be controlled by controlling the CDs of the deposition films. The durability of deposition films against the buffer layer etching process and hydrogen radical cleaning process is also discussed. Keywords: EUV, mask, repair, FIB, chemical vapor deposition, SFET 1. INTRODUCTION Extreme ultraviolet lithography (EUVL) is a leading candidate for ULSI device fabrication and is expected to replace 193-i technology. owever, EUVL still has many challenges to be met e.g., light source power, particle-free mask shipping and handling, development of resist material, defect-free blanks and masks etc. [1], [2], [3], [4]. The feature size requirements for EUVL generation masks are considerably smaller than those for optical lithography. As the mask feature sizes continue to shrink with new technology nodes, the specifications for pattern defect inspection [5], [6], [7], and defect repair [8], [9], [], [11] become more demanding [12], [13], [14]. There have been many papers on the subject of repairing defects on EUV mask pattern but few of them addressed clear type defects [15], [16], [17], [18], [19]. A study of repairing clear defect requires in-depth understanding of light shielding capability, and of process durability of depositing materials. According to an earlier report, some carbon materials were cited to be useful for deposition materials [14], but there has been no report on their applications for mask repair, or on the cleaning durability of deposited films. In case of EUV mask with a buffer layer, the buffer layer has to be removed by dry etching process after a mask pattern can be repaired. This etching process is a special process for EUV mask where the depositing material film is required to exhibit etching process durability. In addition, cleaning durability is also required for deposited film. But, contaminations like SEM burn-marks, which consist of carbon based materials, are likely to adhere to all over the EUV exposed area during high volume chip manufacturing. ence the process for the cleaning of deposited films is required to demonstrate a high degree of cleaning selectivity over the contaminations. Photomask and Next-Generation Lithography Mask Technology XVI, edited by Kunihiro osono Proc. of SPIE Vol. 7379, 73792L 29 SPIE CCC code: X/9/$18 doi:.1117/ Proc. of SPIE Vol L-1

2 2.1 Simulation condition 2. EXPERIMENTAL CONDITION The parameters for the simulations were set up as for SFET conditions as follows: NA=.3 (Central obscuration 3%), sigma=.3/.7 (inner/ outer), magnification= 5:1, incident angle= 6 deg. and wavelength= 13.5 nm. The evaluation patterns were hp45 nm L/S on wafer that corresponded to hp225 nm L/S on mask perpendicular to the incident angle of the light. 2.2 Repair tool We employed a FIB repair tool with chemical vapor deposition process. Table 1 outlines the basic specifications of the process. Repair system: Acceleration voltage: Probe current: Precursor: Table 1 The specification of FIB tool Prototype system for EUVL mask 15 kv 2 pa C 14 (Phenanthrene) 2.3 EUV mask structure and mask design The structure of a EUV mask used in our repair experiments was as follows: LR*-absorber layer (51 nm t )/ Buffer layer (2 nm t )/ Capping layer (11nm t )/ Multi layer (Mo/ Si 4 pairs)/ Qz *LR= Low reflective The mask design that we evaluated was as follows: Base pattern: hp225 nm dense line Defect type: Line-cut defect 2.4 Exposure tool and condition To confirm the usefulness of FIB-CVD method, the repaired patterns were printed on a resist coated wafer to study the variation in the width of resist pattern. The wafer print test was performed using a small field exposure tool (SFET) at Selete under the conditions: NA=.3 (central obscuration 3%), and, sigma=.3/.7 (inner/ outer). The defect printability was evaluated by reading the developed resist pattern using a scanning electron microscope (SEM). Proc. of SPIE Vol L-2

3 2.5 Condition of durability test The deposition condition and the durability test items used in the test are listed in Table 2. Figure 1 shows schematic view of hydrogen radical cleaning system. Table 2 Deposition condition and durability test items Deposition condition Beam: FIB 15kV (Ga+) EB: 3kV Precursor: C14 (Phenanthrene) Methylcyclopentadienyltrimethylplatinum (MeCpPt(Me)3) Durability test item Etching test: Chlorine based plasma etching Cleaning test: ydrogen radical cleaning 2 to Power Supply Shower head IR pyrometer ot W wire Vac. Gauge ydrogen radical cleaning system Thermal Shield Sample Sample Stage (Water-Cooled) Schematic view of system Figure 1 Schematic view of hydrogen radical cleaning system Proc. of SPIE Vol L-3

4 3. RESULTS AND DISCUSSIONS 3.1 Influence of the density of deposited carbon material film on print CDs In repairing clear defect using FIB-CVD (Chemical Vapor Deposition) process, it became apparent that the EUV lightshielding capability of carbon based CVD film was not adequate. We simulated repairing capability of clear defect using carbon materials with different densities. Figure 3-1 shows a CD-focus characteristics of defect repaired area. The calculated model is line-cut defect in hp45 nm (hp225 nm on mask). The thickness of deposited film is 51 nm t which is same as the thickness of absorber layer. The densities of carbon film are: 1.2 g/cm 3 (amorphous carbon), 2.2 g/cm 3 (graphite), and 3.6 g/cm 3 (diamond). The result shows that the calculated values of the line CDs depend on the density of carbon film. The graphite density seemed to be best suited for the job. Then we evaluated the performance of defect repairs using FIB-CVD with carbon based precursor. Calculated mask model Base pattern: hp225 nm L/S Defect: 1:2 Line cut Deposition Material: Carbon Thickness= 51 nm Density= 3.6, 2.2, 1.2 g/ cm3 Deposition Film Absorber layer Buffer layer Cap. layer Thickness Absorber/ Buffer/ Cap.=51/ 2/ 11 [nm] Calculated line CD Ref 3.6 g/cm 2.2 g/cm 1.2 g/cm 55 5 CD+/-% Focus (a) Calculated mask model (b) Focus characteristics of defect repaired area Fig. 3-1 Influence of the film density on print CDs (calculated) 3.2 Basic performance of the FIB-CVD and the buffer layer etching We applied FIB-CVD repair process, and the buffer etching process to confirm the applicability of FIB-CVD to EUV mask containing a buffer layer. Figure 3-2 shows the SEM image and AFM profiles of repaired area after the buffer layer etching process. The AFM profiles indicate that the bottom width of deposition film and is thickness are controllable. It is well known that the CVD process induces thin deposition film around the repaired area. This thin film consists of the precursor material used in the repair process. In case of photomask repair, based on careful study of this thin film, the repair processes have been carried out by controlling the deposition film width and height to improve the lithography performance. In the case of EUV mask with buffer layer, we should remove the buffer layer after repairing all pattern defects. The problem arises from the buffer layer residue left after the etching process which is caused by the relatively-slow etching rate of the thin film than of the buffer layer. In this study, we applied normal buffer layer etching process. The AFM profiles indicate that the buffer layer was completely removed without leaving any residue; and it was confirmed that the CVD-induced thin deposition film did not cause any buffer residue. Proc. of SPIE Vol L-4

5 Repaired area 5nm (a) Top view SEM image of repaired area eight [nm] Deposition film Reference Cap.&ML Distance [nm] (b) AFM profiles of repaired area Film thickness 59 nm t 47 nm t 41 nm t Absorber Buffer Fig. 3-2 SEM image and AFM profiles of line-cut defect repaired area after the buffer layer etching 3.3 Influence of the film thickness on wafer printability Figure shows the relationship between the thicknesses of deposition film and printed CD of 45nm pattern at the best focus. The calculation condition of the film gave round shaped design like real profile, and 2.2 g/cm 3 of density. The width of the deposition film was fixed to 225nm on mask as shown in figure 3-2. To achieve within a range of +/-% CD degradation of defect repaired region, Figure indicates that the thickness of carbon film is required to be more than 3nm. owever, the defocus characteristic of a repaired region indicates that 47nm of film thickness causes slight CD change, as compared with reference pattern at defocused condition (Figure 3-3-2). In this study, the result proved that there were no local CD changes around the defect repaired region with the condition of 59nm thickness. Calculated M easured 15 CD error [nm] (Target = 45 nm) 5 CD+/-% Thickness of deposition film [nm] Fig Relationship between the thickness of deposition film and wafer CD of 45nm pattern at the best focus Proc. of SPIE Vol L-5

6 Focus: 59 nm t -3nm nm +3nm +45nm 2nm 47 nm t CD change Repaired I j i-ti- ---lit- -!-,jf - Fig Defocus characteristic of the line-cut defect repaired region. Upper and lower figures are printed results of film thickness 59nm and 47nm respectively. 3.4 Influence of film width on printed CD It is of interest to note that the FIB deposited carbon film shows excellent repair performance of clear defect for EUV mask. In this section, we evaluated the effect of the film width on wafer-printed CD. Figure 3-4 shows the experimental and simulated results of the relationship between film width and printed line CD. In this case, the thickness of the film is fixed at 59nm. The designed CD is 45nm on wafer, and the film model uses the same condition as in section 3.3. The result indicates not only the CDs are able to control using biased repair method but also the bottom defined film width is a reasonable option. These wafer-printed results indicate that the FIB-CVD technique show excellent repair performance on Cr buffer type of EUV masks. CD error [nm] (Target = 45 nm) Calculated M easured -15 Bias of deposition film width [nm] Fig. 3-4 Influence of the film width on wafer line CD (Bias zero = 225nm) Proc. of SPIE Vol L-6

7 3.5 Application to hp nm dense-line pattern on mask To confirm the capability of FIB-CVD on narrow pitched device pattern, we applied the FIB-CVD technique to hp nm dense-line pattern with line-cut defect. Figure 3-5 shows the SEM images and AFM profile of repaired region. The images show the FIB-CVD technique responds to repair clear defect in hpnm pattern on mask. owever, there remained a few issues to be improved. The AFM profile indicated one example. There was small amount of buffer layer residue around the deposited region. The root cause of the residue generation was estimated that the FIB-CVD induced halo prevent the buffer layer etching. The ways to solve the issue are to establish halo removal process or to optimize buffer layer etching process. Optimization of the repair process or buffer layer etching process will be addressed in future work. Before repair After repair AFM profile o Deposition film U Absorber UUUI--I Buffer 2nm Distance [nm] (a) SEM images of the before and after repaired area Fig. 3-5 Images of line-cut defect before and after the repair process (b) AFM profile of the repaired area 3.6 Durability of deposition materials From the stand point of deposition material, the durability of the deposited film is very important. ere, we ran two types of durability tests on deposition materials. One was the chlorine plasma etching durability that is assumed to be the buffer layer etching process. The other was the hydrogen radical cleaning durability that is assumed to be the mask contamination cleaning process. In the area of EUV irradiation on masks, high energy photon irradiation causes carbon contaminations. The carbon contamination gives rise to variation in the optimum exposure dose. ence the deposited carbon contamination must be removed. The hydrogen radical (-radical) cleaning technique is a well established method for removing carbon contaminations from the EUV mirrors [2]. Recently, this cleaning technique was applied to mask contamination cleaning. On the other hand, the deposition materials used for repairing clear defects are also carbon based materials. In that case, very high cleaning selectivity of the contamination against the deposition material will be required. The experimental result on the etching and cleaning process are shown in Figure 3-6. Under the evaluation conditions, the etching process caused about 1nm of thickness loss. ence, taking into consideration of this film loss the initial film to be deposited then should be 1nm thicker than that of the target because the etching process will be performed only one time. Film loss is also encountered during the cleaning process. The film loss values of the FIB and EB deposited films were 3 and 6nm respectively. It means that both the films will be completely removed during the cleaning cycle. ence it cannot be advisable to apply hydrogen radical cleaning when the carbon based material is applied to repair clear defects. owever, the characteristic of cleaning durability of films that are based on organometallic precursor is quite different. The film loss in this case of FIB-CVD is almost zero although the EB-CVDed film loses 6nm. It seems that the function of FIB is not only to deposit film but also to physically sputter the light atoms like carbon away from the deposited film. Then the surface of FIB-CVD film is covered by thin metal layer. The FIB-CVD with organometallic precursor is one of the options to repair clear defect. Proc. of SPIE Vol L-7

8 Film Thickness Loss [nm] FIB EB Phenanthrene FIB EB FIB EB Phenanthrene MeCpPt(Me)3 (a) Chlorine plasma etching process (b) ydrogen radical plasma cleaning process durability of the carbon films durability of the carbon and organometallic films Fig. 3-6 The durability of deposition films against the buffer layer etching process and hydrogen radical cleaning process. 4. SUMMARY The mask repair performance using FIB-CVD technique was investigated by simulation software and also by SFET exposure experiment. The SFET printed images verified that the carbon films induced by FIB were quite adequate for repairing clear defects. It was also confirmed that the FIB-CVD technique has a potential to support defect repair in hpnm L/S pattern on mask. The hydrogen radical cleaning of repaired masks induced the phenanthrene based CVD films to lose the thickness. Using organometallic gas as FIB-CVD was one of the solutions that met the durability requirement. 5. ACKNOWLEDGEMENT We would like to thank Tadahiko Takikawa and Yuichi Inazuki of Dai Nippon Printing Co., Ltd for their mask fabrication and technical support. We would also like to thank Tomokazu Kozakai of SII NanoTechnology Inc. for his technical support. This work was supported by New Energy and Industrial Technology Development Organization (NEDO). REFERENCES [1] [2] [3] [4] T. ashimoto, et al., Investigation of Defect Repair Methods for EUVL Mask Blanks through Aerial-Image Simulations Proc. SPIE vol. 5853, 855, (25) T. Terasawa, et al., Multilayer bottom topography effect on actinic mask blank inspection signal Proc. SPIE vol. 667, 667K, (27) T. Shoki, et al., Recent performance of EUV mask blanks with low thermal expansion glass substrates Proc. SPIE vol. 673, 67315, (27) K. ayashi, et al., Development status of EUVL mask blanks in AGC Proc. SPIE vol. 673, 6735D, (27) Proc. of SPIE Vol L-8

9 [5] [6] [7] [8] [9] [] [11] [12] [13] [14] [15] [16] [17] [18] [19] [2] T. Abe, et al., EUV mask pattern inspection using current DUV reticle inspection tool Proc. SPIE vol. 667, 667L, (26) D. Y, Kim, et al., EUV mask pattern inspection for Memory Mask Fabrication in 45nm node and below Proc. SPIE vol. 6349, 63492L, (26) T. Amano, et al., Evaluation of EUVL-mask pattern defect inspection using 199-nm inspection optics Proc. SPIE vol. 673, 6735J, (27) T. Abe, et al., Evaluation of dry etching and defect repair of EUVL mask absorber layer Proc. SPIE vol. 5567, 1435, (24) T. Abe, et al., Evaluation of defect repair of EUV mask absorber layer Proc. SPIE vol. 5853, 866, (25) T. Amano, et al., Study of EUVL mask defect repair using FIB-GAE method Proc. SPIE vol. 7122, 71222, (28) Y. Nishiyama, et al., Ga implantation and interlayer mixing during FIB repair of EUV mask defects Proc. SPIE vol 7122, 71222J, (28). Z. Zhang, et al., Investigation of resist effects on EUV mask defect printability Proc. SPIE vol. 673, 67316, (27) T. Liang, et al., EUV Mask Pattern Defect Printability Proc. SPIE vol. 6283, 6283K, (26). Aoyama, et al., Repair specification study for half pitch 32-nm patterns for EUVL Proc. SPIE vol. 673, 6735L, (27) B. Li, et al., Efficient dry etching of Si with vacuum ultraviolet light and XeF2 in a buffer gas J. Applied physics 77(1), pp35-356, (1995) Y. Nishiyama, et al., Damage analysis of EUV mask under Ga focused ion beam irradiation Proc. SPIE vol. 728, 728J, (28) T. Amano, et al., Evaluation of defect repair of EUVL mask pattern using FIB-GAE method Proc. SPIE vol. 728, 7281T, (28) Matthew G. Lassiter, et al., Inhibiting spontaneous etching of nanoscale electron beam induced etching features: Solutions for nanoscale repair of extreme ultraviolet lithography masks J. Vac. Sci Technol. B 26(3), (28) Su-Young Lee, et al., Analysis of process margin in EUV mask repair with nano-machining Proc. SPIE vol. 7122, 71222I, (28). Oizumi et al., Proc. SPIE Vol. 5751, 1149 (25). Proc. of SPIE Vol L-9

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

Progress in EUV blanks development at HOYA

Progress in EUV blanks development at HOYA Progress in EUV blanks development at HOYA T. Shoki, T. Yamada*, A. Ikeda*, J. Miyagaki*, N.Tanaka*, S. Shimojima, R. Ohkubo and O. Nozawa NGL Development Center, Blanks Dev.* HOYA Corporation 1 2005 EUVL

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Control technology of EUV Optics Contamination:

Control technology of EUV Optics Contamination: EUVL Workshop, Maui, Hawaii Wednesday, June 11, 2008 Control technology of EUV Optics Contamination: Modeling, mitigation and cleaning for lifetime extension Iwao Nishiyama 1 Outline 1. Introduction Requirement

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita EUVL R&D Program in NewSUBARU University of Hyogo Hiroo Kinoshita NewSUBARU Synchrotron Facilities Reflectometer (BL10) IL tool Outgas measu rment system Interference Lithography & New Resist evaluation

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

EUVL Mask Defect Strategy

EUVL Mask Defect Strategy EUVL Mask Defect Strategy EUV Mask March 4, 2002, Santa Clara, CA Alan Stivers, Ted Liang, Barry Lieberman, Pei-yang Yan, Fu-Chang Lo Intel Corporation, Santa Clara, CA USA Outline Introduction Overall

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Micro-Nano Fabrication Research

Micro-Nano Fabrication Research Micro-Nano Fabrication Research Technical Education Quality Improvement Programme 22-23 December 2014 Dr. Rakesh G. Mote Assistant Professor Department of Mechanical Engineering IIT Bombay rakesh.mote@iitb.ac.in;

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II) Study of ADI (After Develop Inspection) n Photo Resist Wafers Using Electron Beam (II) Teruyuki Hayashi a, Misako Saito a, Kaoru Fujihara a,setsuko Shibuya a,y.kudou a,hiroshi Nagaike b Joseph Lin c,jack

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

EUV Defect Repair Strategy

EUV Defect Repair Strategy EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium

More information

Development Status of EUVL Blank and Substrate

Development Status of EUVL Blank and Substrate Development Status of EUVL Blank and Substrate Asahi Glass Company Toshiyuki Uno 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber 3. Integrated

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS Roxann L. Engelstad, Venkata Siva R. Battula, Pradeep Vukkadala, Andrew R. Mikkelson, Madhura Nataraju, and Kevin T. Turner Computational

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/16/2018 http://vixra.org/abs/1807.0188 Abstract This paper outlines a design for an EUV transmission

More information

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable

More information

High-accuracy laser mask repair technology using ps UV solid state laser

High-accuracy laser mask repair technology using ps UV solid state laser RIKEN Review No. 43 (January, 2002): Focused on 2nd International Symposium on Laser Precision Microfabrication (LPM2001) High-accuracy laser mask repair technology using ps UV solid state laser Yukio

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate oxide Gate length Page 1 Step 0 The positively doped silicon wafer is first coated with an insulating

More information

Synthesis of diamond-like carbon films with super-low friction and wear properties

Synthesis of diamond-like carbon films with super-low friction and wear properties Synthesis of diamond-like carbon films with super-low friction and wear properties MSE 676 All Things Carbon / 09-29-2009 A. Erdemir, O.L. Eryilmaz, and G. Fenske J. Vac. Sci. Technol. A 18(4), Jul/Aug

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/9/2018 Abstract This paper outlines a design for an EUV transmission lens comprising blazed, phase-

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

Silicon Microparticle Ejection Using Mist-jet Technology

Silicon Microparticle Ejection Using Mist-jet Technology Yokoyama et al.: Silicon Microparticle Ejection Using Mist-jet Technology (1/5) [Technical Paper] Silicon Microparticle Ejection Using Mist-jet Technology Yoshinori Yokoyama*, Takaaki Murakami*, Takashi

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2015 Supplementary Information Fabrication of MoS 2 Thin Film Transistors via

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Christopher G. Morgan, David Varley, Ewa Kosmowska, and Ronald Vane XEI Scientific, Inc., Redwood City, CA,

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

In situ TEM Characterization of Shear Stress-Induced Interlayer. Sliding in the Cross Section View of Molybdenum Disulfide

In situ TEM Characterization of Shear Stress-Induced Interlayer. Sliding in the Cross Section View of Molybdenum Disulfide In situ TEM Characterization of Shear Stress-Induced Interlayer Sliding in the Cross Section View of Molybdenum Disulfide Juan Pablo Oviedo, Santosh KC, Ning Lu, Jinguo Wang, Kyeongjae Cho, Robert M. Wallace,

More information

Low energy electron bombardment induced surface contamination of Ru mirrors

Low energy electron bombardment induced surface contamination of Ru mirrors Low energy electron bombardment induced surface contamination of Ru mirrors A. Al-Ajlony a, A. Kanjilal a, M. Catalfano a,s. S. Harilal a, A. Hassanein a and B. Rice b a Center for Materials Under Extreme

More information

CALTECH CONFERENCE ON VLSI, January 1979

CALTECH CONFERENCE ON VLSI, January 1979 113 A SIMPLE TWO-LAYER ALUMINUM METAL PROCESS FOR VLSI Robert J. Huber Electrical Engineering Department University of Utah Salt Lake City, Utah 84112 I. Introduction The use of two levels of metal interconnect

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation 15th International School-Conference New materials Materials of innovative energy: development, characterization methods and application Volume 2018 Conference Paper Formation of High-quality Aluminum

More information

Australian Journal of Basic and Applied Sciences. Utilization of Oxygen Plasma For Plasma Ashing and Etching Process

Australian Journal of Basic and Applied Sciences. Utilization of Oxygen Plasma For Plasma Ashing and Etching Process AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Utilization of Oxygen Plasma For Plasma Ashing and Etching Process 1 Nereus Tugur Redationo,

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Study of Ion Beam Sputtering using Different Materials

Study of Ion Beam Sputtering using Different Materials ab Journal of Nuclear Science and Applications, 5(), Study of Ion Beam Sputtering using Different Materials H. El-Khabeary Accelerators & Ion Sources Department, Basic Nuclear Science Division, Nuclear

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Introduction Guillermo Acosta, Dr. David Allred, Dr, Steven Turley Brigham Young University

More information

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden Dr. Uwe Dersch AMTC RD-TD Advanced Mask Technology Center GmbH & Co. KG. History and motivation Integrated process

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon. Teja Roch

Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon. Teja Roch Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon Teja Roch Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon (ta-c) Content: Who are we? What are we doing? Why are

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Impact of New MoSi Mask Compositions on Processing and Repair

Impact of New MoSi Mask Compositions on Processing and Repair Impact of New MoSi Mask Compositions on Processing and Repair Anthony Garetto 1, John Stuckey 2, Don Butler 2 1 Carl Zeiss SMS Inc., 1 Corporation Way, 01960 Peabody, MA 2 MP Mask Technology Center LLC,

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial? It s very small world Electronics and Microelectronics AE4B34EM 9. lecture IC processing technology Wafer fabrication Lithography How to get 1 000 000 000 Components to 1 cm 2 Human hair on the surface

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Area-selective atomic layer deposition for self-aligned fabrication

Area-selective atomic layer deposition for self-aligned fabrication Area-selective atomic layer deposition for self-aligned fabrication Adrie Mackus Eindhoven University a.j.m.mackus@tue.nl Area-selective ALD for bottom-up processing Top-down Bottom-up Building technology

More information

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force Overview of SEMI Standards for EUV Masks Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force 1 4 Storage container and attributes of removable protection mechanism (3553) Removable protection

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Imprint Lithography: Getting to the Next Level

Imprint Lithography: Getting to the Next Level Imprint Lithography: Getting to the Next Level May 26 SEMECH Litho Forum James E. Ellenson; ; Ken Kramer; im S. Hostetler; Laura King; William M. ong Hewlett-Packard Company 24 Hewlett-Packard Development

More information

Residual stress analysis of SiO films deposited by

Residual stress analysis of SiO films deposited by Ž. Surface and Coatings Technology 131 000 153 157 Residual stress analysis of SiO s deposited by plasma-enhanced chemical vapor deposition Jin-Kyung Choi a,, J. Lee a, Ji-Beom Yoo a, Jong-Sun Maeng b,

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Imprint lithography for curved cross-sectional structure using replicated Ni mold Imprint lithography for curved cross-sectional structure using replicated Ni mold Yoshihiko Hirai, a) Satoshi Harada, Hisao Kikuta, and Yoshio Tanaka Mechanical System Engineering, Graduate School of Engineering,

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

Status of EUVL mask development in Europe

Status of EUVL mask development in Europe Status of EUVL mask development in Europe Jan Hendrik Peters * Advanced Mask Technology Center, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT EUV lithography is the prime candidate for the next generation

More information

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools Eric Cotte a *, Uwe Dersch a, Christian Holfeld a, Uwe Mickan b, Holger Seitz c, Thomas Leutbecher c, and Günter Hess c

More information