NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

Size: px
Start display at page:

Download "NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive"

Transcription

1 NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu United Microelectronics Corp., No 18, Nanke 2nd Rd. Tainan Science Park, Tainan County, 741, Taiwan Tel: Ext: 12502; Fax: ; jerander_lai@umc.com Introduction Nickel Silicide (NiSi) is one of the popular silicide candidates for advance CMOS because of its low resistivity and low silicon consumption characteristics, but poor thermal stability is the drawback. Pt impurity in NiSi process has been reported to improve NiSi thermal stability [1-5]. R.N. Wange et al. proposed that Pt additive could reduce the driving force of delta Gibbs free energy and increase the interfacial energy from the NiSi orientation change of MnP-type structure to P63/mmc [1]. Takao Marukame et al. addressed that the additive Pt could grow thin PtSi layer around NiSi/Si interface to inhibit the migration of Ni atom to Si [2]. Additionally, they also demonstrated dipole comforting Schottky junction of Ni(Pt)Si/Si for extremely low interface resistance by forming PtSi interface in both As and B atoms dopant. This study successfully demonstrates 50~80% NiSi defect reduction and P-FET 3% device gain improvement on 28nm node by using Pt (atom. 10%) additive in NiPtSi silicide process. Additionally, thermal stability improvement was typically discussed in higher temperature range from 650C to 900C, and the stability was generally shown in Rs-temperature diagram and NiSi defect reduction. Here we discovery some NiSi characteristic changes with higher Pt % additive in lower annealing temperature (<270C), and the results show that lower thermal budget is required to get same NiSi thickness and better Rs distribution with higher Pt% additive. P. 1

2 Experimental and Metrology Experimental Silicidataion was done on P-type Si and 28nm structure wafers. Two different Pt% additives of NiPt, 5 atom% and 10 atom%, with various thicknesses were sputtered on the substrates. Silididations were done following the sequences shown in Figure 1. P-type Si NiPt /TiN RTP (<270C) Strip: SPM P-type Si NiPt /TiN RTP (<270C) Strip: SPM 28nm NiPt /TiN RTP (<270C) Strip: SPM Metrology Semiquantitative analysis of EDS (Energy Dispersive Spectrometer) scanning was applied to draw the depth profile of Ni/Si ratio of that was assisted with GIXRD (Glancing Incidence X-ray Diffraction) to check Nickel silicide phase. Electron beam inspection (EBI) was implemented after contacts formation on 28nm structure wafers to identify Bright Voltage Contrast (BVC) defects that are highly correlated NiSi defects [6]. EDS scan GIXRD Figure 2 Figure 3 (a) RTP2 (>400C) EDS scan X-TEM Figure 4 Figure 5 (b) MSA CONTWCMP EBI WAT Figure 7 Figure 6 Figure 8, 9 (c) Figure 1 Experimetal process flow P. 2

3 Characteristics with Pt additive The depth profile of Scanning EDS showed higher Ni/Si ratio but thinner silicide with NiPt(10 atom%) additive (Figure 2) after RTP1 (<270C) annealing and SPM stripping (Figure 1a). Ni3Si phase was found with GIXRD analysis (Figure 3) Figure 2 EDS scan; More Ni rich silicide was formed with NiPt 10 atom% additive after RTP1 annealing. Figure 3 GIXRD; Ni3Si phase was found in NiPt (10 atom%) split after RTP1 annealing P. 3

4 Characteristics with Pt additive Figure 4(a) EDS scan; NiPt(10 atom %) performed sharper NiSi/Si interface after RTP2 Figure 5 XTEM images after >400C annealing; (a) NiPt(10%) gets uniform NiSi/Si interface image. (b) NiPt(5%) Figure 6 NiPt(10 atom%) splits show better Rs distribution on 28nm structure wafers NiPt(10 atom%) showed thicker NiSi and sharper NixSiy profile at Ni/Si interface (Figure 4a) after RTP2 (>400C) annealing (Figure 1b). The sharper interface indicated that uniform Nickel-monosilicide was formed at the interface and the XTEM images (Figure 5) proved it. Additionally, better Rs distributions on 28nm node structure wafers were found among NiPt (10 atom %) with different NiSi thickness splits (Figure 6). It is suspected that sharper interface and uniform Nickel-monosilicide phased was formed by using Pt(10 atom %) additive NiPt P. 4

5 NiSi defect reduction Figure 7 NiPt(10%) got 50~80% NiSi defect reduction Figure 4(b) EDS scan; More Pt species piling up at silide/si interface Figure 8 NiPt(10%) performed lower and better distribution in SRAM bulk leakage The BVC data inspected with EBI after contact process showed about 50~80% NiSi defect to be reduced (Figure 7), and better SRAM bulk leakage, lower and tighter distributions, was performed after increasing Pt additive from 5 atom % to 10 atom % (Figure 8). The reasons were proposed by Takao Marukame, et al. [2] that some Pt species piling up at bottom of silicide which can suppress Ni migration to Si and get better thermal stability P. 5

6 P-FET performance improvement Figure 9 NiPt(10%) had 3% device gain improvement on P-FET Device Ion-Ioff performance can be improved by reducing Schottky barrier height (SBH) [7] which is dependence on the metal work function. Pt (5.12~5.93 EV) has higher work function than Ni (5.04~5.35 EV) [8], it s positive for P-type Si to improve P-FET device performance. Figure 9 showed there was about 3% P-FET performance to be improved by using NiPt (10 atom %) on 28nm node. P. 6

7 Conclusions and reference Conclusions Nickel Silicide is popular for advance CMOS process because of its low resistivity and low silicon consumption characteristics, but poor thermal stability is the drawback. This study highlighted that NiSi thickness is increased by increasing Pt% and successfully demonstrated the thermal stability improvement, 50~80% NiSi defect reductions and lower SRAM bulk leakage, by using 10 atom % Pt additive of NiPt alloy. Additionally, this study also demonstrated 3% P-FET device gain on 28nm node. Finally, NiPt with 10 atom % Pt additive can be concluded to own many benefits for Nickel salicide process. References 1. R.N. Wange, etc. Applied Surface Science 207 (2003) Takao Marukame, etc. Electron Devices Meeting, IEDM 2008., pp Yifei Huang, etc ICSICT '06. 8th International Conference on, pp. 475-p477 4.L. J. Jin, etc. Journal of Applied Physics Volume: 98, Issue: 3,(2005) pp D. Z. Chi, etc. Junction Technology, IWJT '04. pp Kirin Wang, Hermes Liu, J.H. Yeh, Mingsheng Tsai, Post-WCMP Leakage Detection and Monitoring on 65-nm Devices Using an Advanced e-beam Inspection System, Semiconductor Manufacturing, P. 7

8 Thank You! P. 8

Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide

Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide L. J. Jin, 1 K. L. Pey, 1, 2 W. K. Choi, 1, 3 E. A. Fitzgerald, 1, 4 D. A. Antoniadis, 1, 4 and D. Z. Chi 5 1 Singapore-MIT Alliance,

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process 222 nd ECS Meeting A Proposal of Schottky arrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process Y. Tamura 1, R. Yoshihara 1, K. Kakushima 2, P. Ahmet 1, Y. Kataoka 2,

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

SUPPLEMENTARY INFORMATIONS

SUPPLEMENTARY INFORMATIONS SUPPLEMENTARY INFORMATIONS Dynamic Evolution of Conducting Nanofilament in Resistive Switching Memories Jui-Yuan Chen, Cheng-Lun Hsin,,, Chun-Wei Huang, Chung-Hua Chiu, Yu-Ting Huang, Su-Jien Lin, Wen-Wei

More information

Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation

Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation ECS 224 th MEETING. 2013 o Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation J. Song 1, K. Matsumoto 1, K. Kakushima 2, Y. Kataoka 2, A. Nishiyama 2, N.Sugii

More information

Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application

Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application YING-YING ZHANG, JUNG-DEUK BOK, SANG-UK PARK, BYOUNG-SOEK PARK, SE-KYUNG OH, HONG-SIK SHIN, HYUK-MIM

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi 1 x Ge x films

Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi 1 x Ge x films Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi 1 x Ge x films H. B. Yao, M. Bouville, and D. Z. Chi, * Institute of Materials Research and Engineering, 3

More information

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown Feb. 19 th, 2015 WIMNACT-45 Resistive switching of /SiO 2 stacked film based on anodic oxidation and breakdown K. Kakushima Tokyo Institute of Technology 1 Introduction to resistive RAM (RRAM) Reset OFF

More information

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 1 NCCAVS Junction Technology Group SEMICON West 2015 Meeting July 16, 2015 Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 DEVICE PERFORMANCE

More information

Review Literature for Mosfet Devices Using High- K

Review Literature for Mosfet Devices Using High- K Review Literature for Mosfet Devices Using High- K Prerna Teaching Associate, Deptt of E.C.E., G.J.U.S. &T., INDIA prernaa.29@gmail.com Abstract: With the advancement of MOS devices over 40 years ago,

More information

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.041 A Study of the Dependence of Effective Schottky Barrier Height in Ni Silicide/n-Si

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications

Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications 10 MOONGYU JANG et al : SCHOTTKY BARRIER MOSFETS WITH HIGH CURRENT DRIVABILITY FOR NANO-REGIME Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications Moongyu Jang*, Yarkyeon

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7 EE143 Fall 2016 Microfabrication Technologies Lecture 9: Metallization Reading: Jaeger Chapter 7 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Interconnect 2 1 Multilevel Metallization

More information

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN Li-Chien Chen et al.: The Effect of Heat Treatment on Ni/Au Ohmic Contacts 773 phys. stat. sol. (a) 176, 773 (1999) Subject classification: 73.40.Cg; S7.14 The Effect of Heat Treatment on Ni/Au Ohmic Contacts

More information

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW Y. C. Liang 1, C. Chen 1, *, and K. N. Tu 2 1 Department of Materials Science and Engineering,

More information

Junction formation in Ge by coimplant. and pre-heating techniques

Junction formation in Ge by coimplant. and pre-heating techniques Junction formation in Ge by coimplant. and pre-heating techniques Takashi Kuroi Nissin Ion Equipment Co., Ltd. 0 Content Introduction Purpose and Motivation Experimental Acceptor impurity implanted Germanium

More information

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI Journal of the Korean Physical Society, Vol. 49, December 2006, pp. S795 S799 Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel 2014.08.18 final examination Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel Department of Electronics and Applied Physics Iwai/Kakushima

More information

Front-End Technologies for nano-scale MOSFETs

Front-End Technologies for nano-scale MOSFETs Front-End Technologies or nano-scale s Kentaro Shibahara Research Center or Nanodevices and Systems, Hiroshima University 1-4-2, Kagamiyama, Higashihiroshima, 739-8527 Japan Phone +81-82-424-6267, FAX:

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Nickel-free Metal Finishes

Nickel-free Metal Finishes The Center for Disease Control estimates that 10-20% of the population has a nickel sensitivity that can cause allergic reactions. As mobile devices and wearables become increasingly popular, the need

More information

SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA

SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA NATIONAL UNIVERSITY OF SINGAPORE 2010 SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 217 ISSN(Print) 1598-1657 https://doi.org/.5573/jsts.217.17.2.277 ISSN(Online) 2233-4866 A Study on Thermal Stability Improvement in

More information

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth.

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth. Liquid Phase Epitaxy (LPE) Formation of Localized High Quality and Mobility Ge & SiGe by High Dose Ge-Implantation with Laser Melt Annealing for 10nm and 7nm Node CMOS Technology John Borland 1,2, Michiro

More information

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate , July 6-8, 2011, London, U.K. Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate *Yee-Wen Yen 1, Chien-Chung Jao 2, Kuo-Sing Chao 1, Shu-Mei Fu Abstract Sn-9Zn lead-free

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

Final Report for AOARD Grant FA Development of direct band gap group-iv. March, 2012

Final Report for AOARD Grant FA Development of direct band gap group-iv. March, 2012 Final Report for AOARD Grant FA2386-11-1-4037 Development of direct band gap group-iv semiconductor with the incorporation of Sn March, 2012 Name of Principal Investigators: Prof. H. H. Cheng - e-mail

More information

INA-X System for SNMS and SIMS

INA-X System for SNMS and SIMS Customized Systems and Solutions Nanostructures and Thin Film Deposition Surface Analysis and Preparation Components Surface Science Application INA-X System for SNMS and SIMS Application Notes The quantitative

More information

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Hengda Zhang Anthony Githinji 1. Background RuO2 in both crystalline and amorphous forms is of crucial importance for theoretical as

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Extended Abstracts of the Sixth International Workshop on Junction Technology

Extended Abstracts of the Sixth International Workshop on Junction Technology IWJT-2006 Extended Abstracts of the Sixth International Workshop on Junction Technology May.15-16, 2006, Shanghai, China Editors: Yu-Long Jiang, Guo-Ping Ru, Xin-Ping Qu, and Bing-Zong Li IEEE Press IEEE

More information

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping YS Kim & Hyuckjun Kown CTD, Lam Research 2017 Lam Research Corp. EAG 2017 1 Overview

More information

Sr and Pb additions. L. Affleck, C. Leach *

Sr and Pb additions. L. Affleck, C. Leach * Microstructures of BaTiO 3 based PTC thermistors with Ca, Sr and Pb additions Abstract L. Affleck, C. Leach * Manchester Materials Science Centre University of Manchester and UMIST Grosvenor Street, Manchester

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 52, NO. 11, NOVEMBER Bing-Yue Tsui, Senior Member, IEEE, and Chia-Pin Lin, Student Member, IEEE

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 52, NO. 11, NOVEMBER Bing-Yue Tsui, Senior Member, IEEE, and Chia-Pin Lin, Student Member, IEEE IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 52, NO. 11, NOVEMBER 2005 2455 Process and Characteristics of Modified Schottky Barrier (MSB) p-channel FinFETs Bing-Yue Tsui, Senior Member, IEEE, and Chia-Pin

More information

SCANNING TRANSMISSION ELECTRON MICROSCOPY INVESTIGATION OF ZnO:Al BASED THIN FILM TRANSISTORS

SCANNING TRANSMISSION ELECTRON MICROSCOPY INVESTIGATION OF ZnO:Al BASED THIN FILM TRANSISTORS Digest Journal of Nanomaterials and Biostructures Vol. 8, No. 2, April - June 13, p. 721-727 SCANNING TRANSMISSION ELECTRON MICROSCOPY INVESTIGATION OF O:Al BASED THIN FILM TRANSISTORS E. VASILE a, S.

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure

Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure 222nd ECS meeting 11 Oct. 2012 Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure K. Tsuneishi, J. Chen, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

1.1 Research Background of Copper Metallization for GaAs-based

1.1 Research Background of Copper Metallization for GaAs-based Chapter 1 Introduction 1.1 Research Background of Copper Metallization for GaAs-based Devices In the past decades, GaAs ICs are generally used for military purposes, such as satellite, radar and etc. With

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Development of High Voltage Silicon Carbide MOSFET Devices in KERI

Development of High Voltage Silicon Carbide MOSFET Devices in KERI Development of High Voltage Silicon Carbide MOSFET Devices in KERI 2014. 06. Kim, Sang Cheol (sckim@keri.re.kr) Power Semiconductor Device Research Center Korea Electrotechnology Research Institute Contents

More information

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity Supporting Information Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S Exhibiting Low Resistivity and High Infrared Responsivity Wen-Ya Wu, Sabyasachi Chakrabortty, Asim Guchhait, Gloria Yan

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Redox-Active Molecular Flash Memory for On-Chip Memory

Redox-Active Molecular Flash Memory for On-Chip Memory Redox-Active Molecular Flash Memory for On-Chip Memory By Hao Zhu Electrical and Computer Engineering George Mason University, Fairfax, VA 2013.10.24 Outline Introduction Molecule attachment method & characterizations

More information

Development of Particle Detectors made of Czochralski Grown Silicon

Development of Particle Detectors made of Czochralski Grown Silicon Development of Particle Detectors made of Czochralski Grown Silicon Helsinki Institute of Physics, CERN/EP, Switzerland Microelectronics Centre, Helsinki University of Technology, Finland Okmetic Ltd.,

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Local Oxide Growth Mechanisms on Nickel Films

Local Oxide Growth Mechanisms on Nickel Films Materials Transactions, Vol. 48, No. 3 (2007) pp. 471 to 475 #2007 The Japan Institute of Metals Local Oxide Growth Mechanisms on Nickel Films Te-Hua Fang* 1 and Kuan-Jen Chen* 2 Institute of Mechanical

More information

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Available online at  ScienceDirect. Procedia Engineering 79 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 79 (2014 ) 212 217 37th National Conference on Theoretical and Applied Mechanics (37th NCTAM 2013) & The 1st International Conference

More information

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics METAL OXIDE SEMICONDUCTOR (MOS) DEVICES Term Paper Topic: Hafnium-based High-K Gate Dielectrics AUTHOR KYAWTHETLATT Content 1. High-k Gate Dielectric introduction 3 2. Brief history of high-k dielectric

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Chizuru Ohshima*, Ikumi Kashiwagi*, Shun-ichiro Ohmi** and Hiroshi Iwai* Frontier Collaborative Research Center* Interdisciplinary

More information

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax:

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax: 10.1149/1.3700903 The Electrochemical Society Impact of High-κ TaO x Thickness on the Switching Mechanism of Resistive Memory Device Using IrO x /TaO x /WO x /W Structure A. Prakash a, S. Maikap a,*, W.

More information

3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT

3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT Hitachi Review Vol. 54 (2005), No. 1 27 3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT Toshie Yaguchi Takeo Kamino Tsuyoshi Ohnishi Takahito Hashimoto

More information

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Y. J. Chang, a) J. H. Oh, K. H. Kim, and Jin Jang b) Advanced Display Research

More information

Veeco. Propelling GaN power electronics. Building better switches with GaN. Exposing SiC with Raman microscopy. Reducing droop with V-shaped pits

Veeco. Propelling GaN power electronics. Building better switches with GaN. Exposing SiC with Raman microscopy. Reducing droop with V-shaped pits Volume 21 Issue VII October 2015 @compoundsemi www.compoundsemiconductor.net Building better switches with GaN Exposing SiC with Raman microscopy Veeco Reducing droop with V-shaped pits Propelling GaN

More information

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates.

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates. ET3034TUx - 5.2.1 - Thin film silicon PV technology 1 Last week we have discussed the dominant PV technology in the current market, the PV technology based on c-si wafers. Now we will discuss a different

More information

SCREEN Thermal Products

SCREEN Thermal Products SCREEN Thermal Products July 16, 2015 NCCAVS Junction Technology Group Semicon West San Francisco, CA 1 SE-75-1628-L1 Agenda Thermal Products Overview Laser Anneal Presentation Formation of Ge n+/p junction

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Selenization of CIGS Films with Different Cu-In-Ga Alloy Precursors

Selenization of CIGS Films with Different Cu-In-Ga Alloy Precursors Available online at www.sciencedirect.com Procedia Engineering 36 (2012 ) 41 45 IUMRS-ICA 2011 Selenization of CIGS Films with Different Cu-In-Ga Alloy Precursors Wei-Ting Lin a, Sheng-Hui Chen a *, Shin-Hao

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

Organic Light-Emitting Diodes. By: Sanjay Tiwari

Organic Light-Emitting Diodes. By: Sanjay Tiwari Organic Light-Emitting Diodes By: Sanjay Tiwari Inorganic Vs. Organic Material Properties Limitations At Early Stage Organic materials have often proved to be unstable. Making reliable electrical contacts

More information

Magnetic patterning: local manipulation of the intergranular. exchange coupling via grain boundary engineering

Magnetic patterning: local manipulation of the intergranular. exchange coupling via grain boundary engineering Magnetic patterning: local manipulation of the intergranular exchange coupling via grain boundary engineering Kuo-Feng Huang, 1 Jung-Wei Liao, 1 Cheng-Yu Hsieh, 2 Liang-Wei Wang, 1 Yen-Chun Huang, 1 Wei-Chih

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Vivek Subramanian a, Krishna Saraswat a, Howard Hovagimian b, and John Mehlhaff b a Electrical

More information

All-wet stripping process for highly implanted photoresist

All-wet stripping process for highly implanted photoresist All-wet stripping process for highly implanted photoresist Close Executive OVERVIEW A new all-wet stripping process eliminates the need for dry plasma ashing processes in the removal of highly implanted

More information

The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique

The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique Eman Mousa Alhajji North Carolina State University Department of Materials Science and

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Focused helium-ion beam irradiation effects on electrical transport

Focused helium-ion beam irradiation effects on electrical transport Supporting Information Focused helium-ion beam irradiation effects on electrical transport properties of few-layer WSe2: enabling nanoscale direct write homojunctions Michael G. Stanford 1, Pushpa Raj

More information

HIGH SPATIAL RESOLUTION AND HIGH ENERGY RESOLUTION AUGER DEPTH PROFILING

HIGH SPATIAL RESOLUTION AND HIGH ENERGY RESOLUTION AUGER DEPTH PROFILING Application Note HIGH SPATIAL RESOLUTION AND HIGH ENERGY RESOLUTION AUGER DEPTH PROFILING of / Films OVERVIEW The formation processes of nickel silicide thin films are of particular interest for sub-65

More information

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Tomoji Nakamura, Yoriko Mizushima, Young-suk Kim, Akira Uedono, and Takayuki Ohba Fujitsu Laboratories Ltd., University of Tsukuba Tokyo

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

C. L. Shen a,*, P. C. Kuo a, G. P. Lin a, Y. S. Li a, J. A. Ke a, S. T. Chen a, and S. C. Chen b

C. L. Shen a,*, P. C. Kuo a, G. P. Lin a, Y. S. Li a, J. A. Ke a, S. T. Chen a, and S. C. Chen b Available online at www.sciencedirect.com Physics Procedia 32 (2012 ) 412 416 18 th International Vacuum Congress Microstructure and Magnetic Properties of Nano-Island CoPt Thin Films C. L. Shen a,*, P.

More information

Preparation and characterization of solid-state sintered aluminum-doped zinc oxide with different alumina contents

Preparation and characterization of solid-state sintered aluminum-doped zinc oxide with different alumina contents Bull. Mater. Sci., Vol. 34, No. 3, June 2011, pp. 477 482. c Indian Academy of Sciences. Preparation and characterization of solid-state sintered aluminum-doped zinc oxide with different alumina contents

More information

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Solid-State Electronics 48 (2004) 1987 1992 www.elsevier.com/locate/sse Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Shiyang Zhu

More information

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Swarna Addepalli, Prasanna Sivasubramani, Hongguo Zhang, Mohamed El-Bouanani, Moon J. Kim, Bruce

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information