Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Size: px
Start display at page:

Download "Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS"

Transcription

1 INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA

2 Contents Preface to the First Edition xxi Preface to the Second Edition List of Acroynms xxiii xxv Chapter 1 Introduction Brief History of Integrated Circuits First transistor First integrated circuit Moore's law Feature and wafer size Definition of the integrated circuit technology node Moore's law or the law of more Brief Overview of Integrated Circuits Manufacturing materials Processing equipment Metrology tools Wafer manufacturing Circuit design Mask formation Wafer processing Summary Bibliography Review Questions 21 Chapter 2 Introduction to Integrated Circuit Fabrication Introduction Yield Definition of yield Yield and profit margin Defects and yield Cleanroom Basics Definition of a cleanroom 29 vii

3 viii Contents Contamination control and yield Basic cleanroom structure Basic cleanroom gowning procedures Basic cleanroom protocols 2.4 Basic Structure of an Integrated Circuit Fabrication Facility Wafer processing area Wet bay Diffusion bay Photo bay Etch bay Implant bay Thin-film bay Chemical mechanical polishing bay Equipment area Facility area Testing and Packaging Die testing Chip packaging Final test D packaging 2.6 Future Trends 2.7 Summary 2.8 Bibliography 2.9 Review Questions Chapter 3 Semiconductor Basics What Is a Semiconductor? Bandgap Crystal structure Doping semiconductor Dopant concentration and conductivity Summary of semiconductors Basic Devices Resistor Capacitor Diode Bipolar transistor Metal-oxide-semiconductor field effect transistor Integrated Circuit Chips Memory Dynamic random access memory Static random access memory Erasable programmable read-only memory, electric erasable programmable read-only mem ory, and flash 76

4 Contents _jx Microprocessor Application-specific integrated circuits Basis Integrated Circuit Processes Conventional bipolar transistor process p-channel metal-oxide-semiconductor process (1960s technology) n-channel metal-oxide-semiconductor process (1970s technology) Complementary Metal-Oxide Semiconductor Complementary metal-oxide-semiconductor circuit Complementary metal-oxide-semiconductor circuit pro cess (1980s technology) Complementary metal-oxide-semiconductor process (1990s technology) Technology Trends after Summary Bibliography Review Questions 93 Chapter 4 Wafer Manufacturing, Epitaxy, and Substrate Engineering Introduction Why Silicon? Crystal Structures and Defects Crystal orientation Crystal defects Sand to Wafer Crude silicon Silicon purification Crystal pulling Czochralski method Floating zone method Wafering Wafer finishing Epitaxial Silicon Deposition Gas phase epitaxy Epitaxial growth process Epitaxy hardware Epitaxy process Future trends of epitaxy Selective epitaxy Substrate Engineering Silicon-on-insulator wafer Hybrid orientation technology Strained silicon wafer 119

5 x Contents Strained silicon-on-insulator wafer Strained silicon in integrated circuit manufacturing Summary Bibliography Review Questions 122 Chapter 5 Thermal Processes Introduction 5.2 Thermal Process Hardware Introduction Control system Gas delivery system Loading system Exhaust system Processing tube 5.3 Oxidation Applications Preoxidation cleaning Oxidation rate Dry oxidation Wet oxidation High-pressure oxidation Oxide measurement Recent oxidation trends 5.4 Diffusion Deposition and drive-in Doping measurement 5.5 Annealing Postimplantation annealing Alloy annealing Reflow 5.6 High-Temperature Chemical Vapor Deposition Epitaxial silicon deposition Selective epitaxial growth processes Polycrystalline silicon deposition Silicon nitride deposition Rapid Thermal Processing Rapid thermal annealing Rapid thermal oxidation Rapid thermal chemical vapor deposition Recent Developments Summary Bibliography Review Questions

6 Contents x Chapter 6 Photolithography Introduction Photoresist Photolithography Process Wafer cleaning Preparation Photoresist coating Soft bake Alignment and exposure Contact and proximity printers Projection printer Stepper/scanner Exposure light sources Exposure control Postexposure bake Development Hard bake Metrology and defect inspection Track-stepper integration system Lithographic Technology Trends Resolution and depth of focus Mercury lamps and excimer lasers Resolution enhancement techniques Phase shift mask Optical proximity correction Off-axis illumination Immersion lithography Double, triple, and multiple patterning Extreme-ultraviolet lithography Nanoimprint lithography X-ray lithography Electron beam lithography Ion beam lithography Safety Summary Bibliography Review questions 235 Chapter 7 Plasma Basics Introduction Definition of Plasma Components of plasma Generation of plasma 238

7 Xjj Contents 7.3 Collisions in Plasma Ionization Excitation-relaxation Dissociation Other collisions 7.4 Plasma Parameters Mean free path Thermal velocity Magnetic field Boltzmann distribution Ion Bombardment 7.6 Direct-Current Bias 7.7 Advantage of Plasma Processes Chemical vapor deposition Plasma-enhanced chemical vapor deposition Stress control Chamber cleaning Gap fill Plasma etch Etch profile control Etch rate and selectivity Endpoint Chemical use Sputtering deposition Plasma-Enhanced Chemical Vapor Deposition and Plasma Etch Chambers Processing differences Chemical vapor deposition chamber design Etch chamber design Remote Plasma Processes Photoresist strip Remote plasma etch Remote plasma cleaning Remote plasma chemical vapor deposition High-Density Plasma Inductively coupled plasma Electron cyclotron resonance 7.11 Summary 7.12 Bibliography Review Questions Chapter 8 Ion Implantation Introduction Brief history 267

8 Contents *!!> Advantages of implantation Applications Ion Implantation Basics Stopping mechanisms Ion range Channeling effect Damage and annealing Ion Implantation Hardware Gas system Electrical system Vacuum system Control system Beam line system Ion source Extraction system Mass analyzer Postacceleration Charge neutralization system Wafer handler Beam stop Ion Implantation Process Device applications Other ion implantation applications Processing issues Wafer charging Particle contamination Elemental contamination Process evaluation Secondary ion mass spectroscopy Four-point probe Thermal wave Optical-electrical sheet resistance measurement Safety Chemical hazards Electrical hazards Radiation hazards Mechanical hazards Recent Developments and Applications Summary Bibliography Review Questions Chapter 9 Etch Introduction 315

9 xiv Contents 9.2 Etch Basics Etch rate Uniformity Selectivity Profile Etch bias Loading effects Macroloading Microloading Overetch Residue Wet Etch Process Introduction Oxide wet etch Silicon etch Nitride etch Metal etch Plasma (Dry) Etch Introduction Plasma review Chemical, physical, and reactive ion etches Etch mechanisms Plasma etch chamber Endpoint Plasma Etch Processes Dielectric etch Single-crystal silicon etch Polysilicon etch Metal etch Photoresist strip Dry chemical etch processes Blanket dry etch processes Plasma etch safety Process Trends 9.7 Recent Developments Summary Bibliography Review Questions Chapter 10 Chemical Vapor Deposition and Dielectric Thin Films Introduction 10.2 Chemical Vapor Deposition Chemical vapor deposition process description

10 Contents xv Chemical vapor deposition reactor types Atmospheric pressure chemical vapor deposition Low-pressure chemical vapor deposition Plasma-enhanced chemical vapor deposition Chemical vapor deposition basics Step coverage Gap fill Surface adsorption Chemisorption Physisorption Chemical vapor deposition precursors and their adsorption Sticking coefficient Chemical vapor deposition kinetics Chemical reaction rate Surface-reaction-limited regime Mass-transport-limited regime Chemical vapor deposition reactor deposition regime Applications of Dielectric Thin Films Shallow trench isolation Sidewall spacer Interlayer dielectric Interlayer dielectric-1 and up Passivation dielectrics Dielectric thin-film characteristics Refractive index Ellipsometry Prism coupler Thickness Color chart Spectroreflectometry Deposition rate Wet etch rate Shrinkage Uniformity Stress Dielectric Chemical Vapor Deposition Processes Thermal silane chemical vapor definition process Thermal tetraethoxysilane chemical vapor deposition process Plasma-enhanced chemical vapor deposition silane process Passivation Interlayer dielectric-0 barrier layer Dielectric antireflective coating 415

11 XVj Contents Plasma-enhanced chemical vapor deposition tetraethoxysilane process Dielectric etchback process Ozone-tetraethoxysilane process Ozonator Ozone-tetraethoxysilane undoped silicate glass process Ozone-tetraethoxysilane phosphosilicate glass 10.6 Spin-On Glass and borophosphosilicate glass processes High-Density Plasma Chemical Vapor Deposition Dielectric Chemical Vapor Deposition Chamber Cleaning Radio-frequency plasma cleaning Remote plasma cleaning Process Trends and Troubleshooting Silane plasma-enhanced chemical vapor deposition processing trends Plasma-enhanced tetraethylorthosilicate trends Ozone-tetraethoxysilane trends Troubleshooting guide Recent Developments Low-K dielectrics Air gap Atomic layer deposition High-K dielectrics Summary Bibliography Review Questions 449 Chapter 11 Metallization Introduction Conducting Thin Films Polysilicon Silicides Aluminum Titanium Titanium nitride Tungsten Copper Tantalum Cobalt Nickel 465

12 Contents 11.3 Metal Thin-Film Characteristics Thickness and deposition rate Uniformity Stress Reflectivity Sheet resistance Metal Chemical Vapor Deposition Introduction Tungsten Tungsten silicide Titanium Titanium nitride Aluminum Physical Vapor Deposition Introduction Evaporation processes Thermal evaporation Electron beam evaporation Sputtering Basic metallization processes Degas Preclean Titanium physical vapor deposition Titanium nitride physical vapor deposition Aluminum-copper physical vapor deposition Copper Metallization Precleaning Barrier layer Copper seed layer Copper electrochemical plating Copper chemical vapor deposition Safety Summary Bibliography Review Questions 505 Chapter 12 Chemical Mechanical Polishing Introduction Overview Definition of planarization Other planarization methods Necessity of chemical mechanical polishing Advantages of chemical mechanical polishing Applications of chemical mechanical polishing 516

13 xviii Contents 12.2 Chemical Mechanical Polishing Hardware Introduction Polishing pad Polishing head Pad conditioner 12.3 Chemical Mechanical Polishing Slurries Oxide slurry Metal polishing slurry Tungsten slurry Aluminum and copper slurries 12.4 Chemical Mechanical Polishing Basics Removal rate Uniformity Selectivity Defects Chemical Mechanical Polishing Processes Oxide chemical mechanical polishing Tungsten chemical mechanical polishing Copper chemical mechanical polishing Chemical mechanical polishing endpoint detection Post-chemical-mechanical-polishing wafer cleaning Process issues 12.6 Recent Developments Summary Bibliography Review Questions Chapter 13 Process Integration Introduction Wafer Preparation Isolations Blanket field oxide Local oxidation of silicon Shallow trench isolation Self-aligned shallow trench isolation 557 Well Formation Single well Self-aligned twin wells Twin wells 559 Transistor Formation Metal gate process Self-aligned source/drain process Lightly doped drain Threshold adjustment Anti-punch-through

14 Contents xjx 13.6 Metal-Oxide-Semiconductor Field-Effect Transistors with Highk and Metal Gates Gate-first process Gate-last process Hybrid high-k metal gates Interconnections Local interconnections Early interconnections Aluminum alloy multilevel interconnections Copper interconnections Copper and 1ow-k dielectrics Passivation Summary Bibliography Review Questions 580 Chapter 14 Integrated Circuit Processing Technologies Introduction Complementary Metal-Oxide-Semiconductor Process Flow of the Early 1980s Complementary Metal-Oxide-Semiconductor Process Flow with 1990s Technology Comments Complementary Metal-Oxide-Semiconductor Process Flow with Technology after Complementary Metal-Oxide-Semiconductor Process Flow with Technology after Memory Chip Manufacturing Processes Dynamic random access memory process flow Stacked dynamic random access memory process flow NAND flash process Summary Bibliography Review Questions 648 Chapter 15 Future Trends and Summary Bibliography 655 Index 657

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Oxidation SMT Yau - 1

Oxidation SMT Yau - 1 Oxidation Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Describe an oxide film for semiconductor manufacturing, including its atomic structure, how it is used

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY

FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY by Badih EI-Kareh IBM Corporation Graphics and Layout: Richard J. Bombard SPRINGER SCIENCE+BUSINESS

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Chapter 7 Polysilicon and Dielectric Film Deposition

Chapter 7 Polysilicon and Dielectric Film Deposition Chapter 7 Polysilicon and Dielectric Film Deposition Professor Paul K. Chu Thin Films in Microelectronics Polycrystalline silicon or polysilicon Doped or undoped silicon dioxide Stoichiometric or plasma-deposited

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer Thomas M. Adams Richard A. Layton Introductory MEMS Fabrication and Applications Springer Contents Preface xiü Part I Fabrication Chapter 1: Introduction 3 1.1 What are MEMS? 3 1.2 Why MEMS? 4 1.2.1. Low

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 10 Oxidation 2001 2000 by Prentice Hall Diffusion Area of Wafer Fabrication Wafer fabrication (front-end)

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Lattice 3256A-90LM PLD

Lattice 3256A-90LM PLD Construction Analysis PLD Report Number: SCA 9705-538 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781 e-mail: ice@ice-corp.com

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate oxide Gate length Page 1 Step 0 The positively doped silicon wafer is first coated with an insulating

More information

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY TIRUPACHUR DEPARTMENT OFELECTRICAL AND ELECTRONICS ENGINEERING EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 1. Define an Integrated circuit.

More information

Oki M A-60J 16Mbit DRAM (EDO)

Oki M A-60J 16Mbit DRAM (EDO) Construction Analysis Oki M5117805A-60J 16Mbit DRAM (EDO) Report Number: SCA 9707-545 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however:

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however: MOS Transistors Readings: Chapter 1 N-type drain conductor - gate insulator source gate drain source n p n substrate P-type drain conductor - gate insulator source drain gate source p p substrate n 42

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński 2. Fabrication techniques KNU Seminar Course 2015 Robert Mroczyński Technological layers used in the course of the IC fabrication Semiconductors Fundamental part of each IC, active material of semiconductor

More information