Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow

Size: px
Start display at page:

Download "Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow"

Transcription

1 Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow Hari Pathangi a*, Boon Teik Chan a, Hareen Bayana a, Nadia Vandenbroeck a, Dieter Van Den Heuvel a, Lieve Van Look a, Paulina Rincon-Delgadillo a, Yi Cao b, JiHoon Kim b, Guanyang Lin b, Doni Parnell c, Kathleen Nafus d, Ryota Harukawa e, Ito Chikashi e, Venkat Nagaswami e, Lucia D Urzo f, Roel Gronheid a, Paul Nealey g a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b EMD Performance Materials Corp., 70 Meister Avenue, Somerville, NJ 08876, USA c Tokyo Electron Europe Limited, Kerkenbos Unit C, 6546 BB Nijmegen, The Netherlands d Tokyo Electron America, Inc., 2400 Grove Boulevard, Austin, TX 78741, USA e KLA-Tencor Corporation, 1 Technology Drive, Milpitas CA 95035, USA f Entegris GmbH, Hugo-Junkers-Ring 5, Gebäude 107/W, Dresden, Germany g Institute for Molecular Engineering, University of Chicago, 5747 South Ellis Avenue, Jones 217, Chicago, IL 60637, USA ABSTRACT High defect density in thermodynamics driven DSA flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high volume manufacturing. The major questions raised in this regard are: 1. What is the intrinsic level of DSA-induced defects, 2. Can we isolate the DSA-induced defects from the other processes-induced defects, 3. How much do the DSA materials contribute to the final defectivity and can this be controlled, 4. How can we understand the root causes of the DSA-induced defects, their kinetics of annihilation and finally, 5. Can we have block co-polymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low defect levels. This manuscript addresses these important questions and identifies the issues and the level of control needed to achieve a stable DSA defect performance. Keywords: Directed self-assembly, chemo epitaxy, LiNe flow, defect reduction, defect metrology, DSA materials 1. INTRODUCTION The golden ages of pure lithography-enabled scaling down of microelectronic circuits are well behind our backs. Directed self-assembly (DSA) has been proposed as a promising complementary patterning option 1 for keeping the scaling alive in the coming years, while ensuring an economic benefit to the silicon industry. Various research/industrial groups around the globe have successfully demonstrated DSA processes using block co-polymers to generate line-space patterns and arrays of holes with much smaller resolution than the capability of the current lithographic nodes 2,3. Several figures of merit have been identified and put forward as major checkpoints to assess the relevance of DSA processes for high-volume manufacturing environment; defectivity, roughness, placement accuracy, repeatability, cost of development and implementation being the important ones. The decision point for DSA insertion into a technological node/integration scheme is not far away. One of the main factors that could make or break the show for DSA to be adopted by the IC manufacturers/production fabs is the level of defects. Alternative Lithographic Technologies VII, edited by Douglas J. Resnick, Christopher Bencher, Proc. of SPIE Vol. 9423, 94230M 2015 SPIE CCC code: X/15/$18 doi: / Proc. of SPIE Vol M-1

2 Defects can be induced by various factors: non-ideal assembly (external and/or on-wafer) conditions, DSA material(s)- induced defects, non-dsa processes related defects (e.g. etch processes) and additionally in most cases, a crossinteraction of the above factors. One of the main challenges is to be able to identify/isolate the impact of the above factors on the different defect types we see after DSA. Figure 1 shows a schematic representation of the 14 nm half-pitch LiNe flow implemented at imec. In our previous work, we have shown that the defect density after pattern transfer in a 14 nm half-pitch LiNe flow can be reduced 4 up to 200 cm -2. This manuscript will further this towards more aggressive defect reduction strategies. More importantly, we discuss in detail about the process/material contributions to the different kinds of defects observed and a further modeling of DSA defect evolution by using a design of experiments (DoE) statistical model. XPS mat ArFi-litYtograply Trim etch PR stip (guïde stripes) Roush waning (chemical pattern) l3cp cat & annealing PIMA removal Pattern transfer Figure 1: A schematic representation (not to scale) of the 14 nm half-pitch LiNe flow implemented at imec. 2.1 Materials 2. MATERIALS AND METHODS Cross-linkable poly(styrene) (XPS, AZEMBLY NLD128), hydroxyl-terminated poly(styrene-random-methyl methacrylate) (P(S-r-MMA)-OH) brush (AZEMBLY NLD127), and poly(styrene-block-methyl methacrylate) (PS-b- PMMA, AZEMBLY PME312) BCP with L 0 = 28 nm, were synthesized by Merck Performance Materials and were used as received. ArF immersion photoresist AIM5484 used for pre-pattern exposure was purchased from JSR Micro. Organic solvent RER650 used for edge bead removal of DSA materials and brush rinsing was obtained from Fujifilm and the photoresist strip chemistry, Orgasolv STR 301 TM was obtained from BASF. 2.2 Process flow The process used for this experiment is based on our previously reported method for the fabrication of chemical nanopatterns 3. All processing was performed at imec on a TEL CLEAN TRACK LITHIUS ProZ system. Prepattern with a pitch of 84 nm were exposed using an ASML NXT:1950i scanner at 1.35NA using quadrupole illumination (XY polarized, NA= 1.35, σ o =0.87, σ i =0.72). A SiN antireflective coating (ARC) layer of 13 nm was deposited by chemical vapor deposition (CVD) on p doped 300 mm Si substrate. The XPS film, with a target thickness of 7 nm, was spun and annealed at high temperature for 5 min under a N 2 atmosphere. Proc. of SPIE Vol M-2

3 After resist coating and exposure using vendor recommended settings for post-apply bake (PAB), post-exposure bake (PEB), and development, lines and spaces of 84 nm pitch and critical dimension (CD) of 38 nm were obtained. O 2 and Cl 2 plasma etch was used to trim the resist to target 17 nm CD and to remove the XPS in the trenches. The remaining resist was stripped at room temperature with Orgasolv STR 301. The P(S-r-MMA)-OH brush was spun and annealed for 5 min at 250 C in a N 2 atmosphere. Non-reacted brush material was rinsed with RER650. The PS-b-PMMA BCP was coated on the chemical patterns and annealed at a specific temperature in a N 2 atmosphere. The duration and the temperature of the anneal depended on the nature of the study conducted and is mentioned in the results and discussion section wherever relevant relevant. Defect inspection was done in KLA-Tencor s 28xx platform and a subsequent scanning electron microscope (SEM) review was performed in KLA-Tencor s edr7xxx platform. 2.3 Metrology For defect inspection and source identification, the tool utilized in this study is a broadband plasma optical inspection system (28xx). This is a widely adopted tool for both R&D and production monitoring inspection applications. The initial setup of the tool (wavelength, apertures, modes etc.) was done based on the results of a proprietary offline simulation methodology from KLA-Tencor, which uses a Rigorous Coupled Wave Analysis (RCWA) method to solve the EM simulation. Directed Self Assembly, being a new generation lithography process, the critical defects of interest (such as dislocation and 1-period flat bridges post-si etch ) were first studied by simulations to determine the optimal optical settings to maximize signal. The recipe was then verified and further optimized to maximize sensitivity to all defects of interest whilst suppressing potential noise sources. The methodology for the DSA of DSA experiment used in this manuscript is exactly the same as described in an earlier publication 5, except that this methodology is now extended up to the final pattern transfer (Si etch). The methodology for the DoE of DSA experiment is as follows: All the wafers as described in the experimental space shown in Figure 2 were processed following the LiNe flow (with appropriate splits and process changes as applicable). The wafers were subjected to optical defect inspection and SEM review after Si etch and defect density Paretos were plotted. The total defect density and the densities of the individual defect modes like dislocations, 1-period flat bridges, residues were fed as inputs for the statistical model in JMP11 software. r 10 ti w 9 c E m E íaj m Pre -pattern exposure dose (mj /cm2) Si etch BCP anneal time (s) time (min) Figure 2: The experimental space explored by the design of experiment (DoE) described in Section 3.3 showing the four parameters tested. 3.1 Defect monitor 3. RESULTS AND DISCUSSION A weekly defect monitor exercise is run as a part of the defect understanding project. 1 focus exposure matrix (FEM) wafer and 1 uniform wafer (CDU) at the best focus-dose condition are run through the PoR LiNe flow processing conditions. The FEM wafer is processed until PMMA removal (as shown in Figure 1) and the CDU wafer is processed until Si etch. Proc. of SPIE Vol M-3

4 The CDU wafer is subjected to optical defect inspection before XPS coat (to establish the incoming defectivity), after trim etch, resist strip, NUL rinse, PMMA removal and Si etch and subjected to SEM review after Si etch. The FEM wafer is subjected to an optical scatterometer-based grating qualification study (after PMMA removal) to quantify the degree of order in the post-dsa line space gratings, as reported earlier 6. The result from the FEM wafer inspection is used to identify/confirm the optimal pre-pattern exposure conditions in order to maintain good guide pattern fidelity resulting in good DSA performance. An example of the result from the FEM wafer inspection is shown in Figure 3a. Figure 3b shows the defect monitor results from the year This monitor data acts as the baseline for all our defect reduction studies to benchmark the defect level changes that result from intended material/process improvements and tool/process drifts. The root causes of most of the excursions in Figure 3b have been identified as process and/or tool drifts and variability in the DSA material batches. A detailed analysis/description of this monitor data is beyond the scope of this manuscript and hence shall not be discussed here. 1314* 14 nm hp 1_i4 iltt,s 4h:fea ttutnil.çtr - 2()14 t + f4 f< 4 i <tì i I' ts f 4,1 } t : 1 f M t S t t i<l t <F 1 l4 Ft E 4 < I yt i 1 4 `...""` tiy tc., Ff+.s3 t `` T`y Jeet idadnt ;ifpr.fraf :tg@ Sip!ke 4m' Orr Ih ty dtstitr e(irr hy etth L/eQ-zj!h{cti Attlxit.s after PM}I.t nmwal (tem2) (a) (b) Figure 3: A typical FEM wafer measurement showing the right process window in terms of the pre-pattern exposure condition (green = good assembly in terms of grating quality and red = bad assembly). To increase the ease of comprehension through the rest of the manuscript, especially for the non-regular DSA readers, Figure 4 shows SEM images of typical defects we encounter in our flow that can be broadly classified into 3 kinds. While dislocations (Figure 4a) are certainly known to be defects created during the BCP anneal (even though at times, they might be induced by external factors, like particles) we have data that indicate a possible link between the annealing process/dsa material composition and the 1-period flat bridges. The other defects, like the residues, at this stage we believe are most probably caused by non-dsa processes, like the resist strip, dry etch steps etc. A more detailed description of all the individual defect modes and their possible root causes will follow in a later section. III Ill HAI i i,..l, 1 (a) (b) (c) Figure 4: SEM images of most typical defects observed in our DSA flow - (a) Dislocations, (b) 1-period flat bridge and (c) Residues with topography. 3.2 Defect Metrology Sensitivity As pointed out earlier, we perform our final optical defect inspection and SEM review after pattern transfer. We have already shown that performing defect inspection after pattern transfer increases the inspection sensitivity by about 10 times 4. We have also established that the dark field mode recipe we use for our inspection is extremely effective in capturing the very flat small bridges spanning just 1 period, which means they are roughly 14x14 nm 2 in dimension. 4 Proc. of SPIE Vol M-4

5 Nevertheless, it is important to ensure that we have a good defect capture rate, especially for the DSA relevant defects, like dislocations. This is especially important as the defect capture rate, even after pattern transfer, can be extremely sensitive to various parameters like etch depth of the patterns, their sidewall profile etc. Also, the morphology of the dislocation defects is very unique compared to more conventional lithography induced defects and the absence of a topographical/chemical contrast in these defects imposes a big challenge for defect inspection tools to capture them with good sensitivity. 4 To estimate the capture rate of dislocations, we make use of the programmed defects available on the reticle. A matrix of 200 programmed defects with a CD bias (shown in Figure 5), ranging from 2 nm to 20 nm in steps of 2 nm was used for this study. The programmed defects can induce dislocations due to the local CD change and since these coordinates are known, we could image all the reticle-defined locations using a SEM to verify how many of them actually resulted in a dislocation. We observed that the BCP assembly in our flow heals any CD variation as long as the CD bias is less than or equal to 35% of its L 0. In a typical die, the defect inspection recipe captured 16 of the dislocations (confirmed after SEM review of the inspection coordinates) among the programmed defects, while the SEM based verification revealed a total of 21 dislocations. This resulted in a 76% capture rate of dislocations after pattern transfer into the Si substrate. Furthermore, it was observed that the S/N ratio of the programmed defects that were captured by the inspection tool was greater than 1.3 and mostly in the region between 1.8 and 2.8, which is indicative of reliable capture of such defects. Additionally, it was observed that only very small dislocations (usually, spanning <4 periods) could not be captured with our inspection recipe (Figure 6). We expect that this could possibly be mitigated by going to a more powerful defect inspection platform like the KLA Tencor s 29xx series. Nevertheless, the capture rate of 76% for dislocations adds to the confidence level of our inspection recipe and thereby substantiates the relevance of the observations/conclusions discussed in this paper SC 7SW W 751: (a) (b) Figure 5: (a) A schematic representation of the mask layout of the programmed defects (CD bias). Each point represents the center of the programmed defect location on the x-y space on the wafer. From left to right, the CD bias increases from 2-20 s a nm in 2 nm steps. E a The points marked as D are the programmed defects that resulted in a dislocation (confirmed with SEM review). (b) A contour map of the signal to noise (S/N) ratio of the programmed defects as measured in the inspection tool. 411 Figure 6: Typical SEM images of the small dislocations that were not captured by the inspection recipe. Proc. of SPIE Vol M-5

6 3.2 DSA of DSA Directed self-assembly in its root is considerably different from usual lithographic techniques, in the nature and in the number of the steps involved. Hence, while discussing the defect distribution in directed self-assembly flows, it is of utmost importance that we isolate and understand the possible root cause(s) of the various defect modes, especially because defects that have a similar morphology to defects from older/other lithographic schemes could very well be caused by a different mechanism. A very good example of this can be the flat 1-period bridges that we see after pattern transfer. This will be clarified later in the section. In an attempt to understand the root causes of our defects, a defect source analysis (DSA) of KLA-Tencor of our DSA flow was performed. The experimental approach (shown in Table 1) is very similar to our previous exercise as reported in 5, except in this case, we extend the DSA of DSA all the way up to Si etch process. The defect Pareto of the control wafer that was inspected and reviewed only after Si etch is shown in Figure 7. Figure 8 shows the results of the DSA of DSA experiment. The total defect density measured at each step is plotted. At each process step, the defect contribution from all the previous step(s) (common) and the defects that are added exclusively from the said step (adders) can be distinguished by this approach. The defects that were classified as adders at each process steps were imaged using a review SEM to get a visual on the type of defects created by each process step. Figure 8 also shows typical examples of the adder defects at each process step. Process step Control wfr DSA of DSA wfr NUL coat adders PR strip adders Trim etch adders Exposure adders XPS coat adders SiN N I I I I I I I/R XPS coat N I I I I I I/R Exposure N I I I I I/R Trim etch N I I I I/R PR strip N I I I/R NUL coat N I I/R Si etch I/R I/R Table 1: Experimental split matrix showing the DSA of DSA methodology. N = not inspected, I = optical defect inspection, R = SEM review and I/R = optical inspection AND review. SiN Ffootaprftda Ditkx4iatt ST% ft V4Itiplt pciod Rtgeva 4 5%1 croligitt Itrovettgho tire Ihniet Itht ntiopitsídat Oat wrioo4idte4 pialoch Figure 7: Final defect Pareto of the control wafer (after Si etch). Proc. of SPIE Vol M-6

7 500.0 ['III I III I I I i abs SiN deposition XPS coat Pre -pattern litho Trim etch Resist strip NUL coat Pattern transfer Pattern transfer Figure 8: Step contribution chart of the DSA of DSA experiment showing SEM images of sample adder defects observed at each process step. We observed that the defects formed during the XPS coat step remain the majority contributors, certainly until Si etch and even after pattern transfer, the step contribution from the XPS layer is the maximum, albeit small. About 91% of the total defects at the XPS layer were so called bright spots, which are caused by incompatibility between the XPS material and the under-layer due to local surface energy changes. This can be mitigated by surface treating the underlayer, for example with a plasma exposure or by treating it with a specific brush to increase the coating compatibility. On the other hand, custom-modifying the XPS material itself to coat it on specific under-layer(s) is also a viable option. Figure 9 also shows the optical polarity distribution of the XPS coating defects and examples of each kind. After XPS coat, resist strip and NUL process steps were found to be the major defect contributors. A big majority of defects captured after pre-pattern exposure were found to be SEM non-visibles. Only about 6% of the defects were found to be bridges and an equal amount were residues (Figure 8). About 40% of the adders after the trim etch process were found to be etch-induced residues (Figure 8), while the rest was SEM non-visible. The adders after the resist strip (Figure 8) process were observed to be mostly line bridging (40%) and ~20% particles/residues, which all contribute a non-ideal chemical pattern. The adder defects from the NUL process step present in the form of 18% bright and 16% dark spots, which are mainly interruptions in the neutral backfill region and a small 6% particle/residues contribution. Typical image I Bright Dark 99.81% 0.19% Optical patch SEM image Figure 9: Sample optical patches and corresponding SEM images of the bright and dark defects after XPS coat. Proc. of SPIE Vol M-7

8 A big majority of adder defects after Si etch process cannot be truly and fully interpreted as defects induced during the etch process. This we believe is mainly because the defect inspection recipe for all intermediate process steps (until NUL process) uses a brightfield aperture mode, while the recipe after pattern transfer uses a darkfield aperture mode. These choices were so made to obtain the best capture rate at the respective process stages. We know that the darkfield aperture mode recipe offers a significantly higher sensitivity than the previous inspection recipes. Hence, comparing the step defect contribution before and after pattern transfer is not exactly fair. This hypothesis can be verified by inspecting the wafers at all the process steps with the same optical settings. Unfortunately, that was not an option as the non-ideal optical settings did not give us dependable inspection results. However, a closer look at the common defects between the final Si etch step and the 3 major contributing steps (XPS, resist strip and NUL) provides a phenomenological proof to the above hypothesis. Table 2 summarizes the statistics and images of the common defects. We observed that in all the 3 cases, about half of the common defects were dislocations and a third of them were 1-period bridges. Do note that these two defect modes account for about 54% of the total defect density after Si etch (with a very sensitive inspection recipe) and a majority of the common defects at the three intermediate steps (with lesser sensitivity). Given that dislocations cannot be possibly induced during the Si etch process (after BCP anneal), this could hint that if the inspection sensitivity of all the steps were similar, we could expect to see a bigger contribution of the initial DSA processing in the final defect levels. XPS coat-si etch common defects ( 200 defects) Resist strip-si etch common defects (-100 defects) NUL-Si etch common defects (-70 defects) 52% 40% 58% 23% Table 2: Defects distribution showing the common defects between XPS coat, resist strip and NUL process respectively with the Si etch process with sample SEM images. 3.3 DoE of DSA In the previous section, we established the major defect contributors in terms of the process steps. For gaining a deeper insight into the other variables that contribute to the final defect density and their possible interaction with one another, a thorough D-optimal design of experiment (DoE) was performed. The experimental parameters that were tested include, pre-pattern exposure dose (this determines the CD of the guide stripe), BCP anneal time, BCP anneal temperature and Si etch duration (this determines the etch depth into the Si substrate). Si etch depth was added as one of the variables to include the effect of the inspection sensitivity with changing etch depth in a controlled manner. The exact experimental space explored is shown in Figure 2. Data analysis to arrive at a predictive model was performed in JMP 11. The final model was optimized by an iterative process for a minimal t factor. A screenshot showing the goodness of fit and t factor is shown in Figure 10 for the model discussed here. The experimental results of total defect densities and the density of the majority defect modes (residues, 1-period flat bridges and dislocations in that order) were fed into the DoE as inputs for the model. Do note that the defect Pareto for this study is different from the one presented in the previous section. This is due to a different batch of the BCP material based on the feedback from the learning of the DSA of DSA. Proc. of SPIE Vol M-8

9 Response Total defect density (km2) Actual by Predicted Plot F 600 i Summary of Fit W Total eetectdanoty Vcm2)Predicted RS RMSE R59oare RSquaee 6dj Root Mean Square am Mean of Response Cbsenraors lor Sum Wgtsl 13 Analysis of Variance Sea Of Sane DF Squares Mom Sean F Rub Model ómr Peab> F C. Total !.. Parameter Estimates eery Wee Seem lisle Pnbiq Intercept Pee-omen apeene dose (sv4m Si etch lime DI :i:c_' 6CP annex brae (mm) <.... BCP am tatpaesn IQ (6CParesitaweautt6875)Yacisnesi me(ni ) ::.Ct (BCE suetine(twn)126675)1bm anneal 11npantas(Q ) oar : C'I (SCPennealtmryuatune 1Q -2S1.8271'18CP meal te.'p.r e(q ) p r... Figure 10: A screenshot from the analysis software showing the goodness of fit of the DoE model. Note the high R 2 value for the regression model. The results of the model are summarized in Figures The main conclusions of the DoE are as follows: total defect density has and inverse correlation with the pre-pattern exposure dose (guide CD) and BCP anneal temperature and a direct correlation with the Si etch time (etch depth). BCP anneal time, however shows a clear minimum at 250 minutes. The fact that the total defect density decreases with the guide CD should be considered along with the observation that this could be an effect of the trend we see for the residues (Figure 12) and that the residues are the majority defects for the material batch we used in this study. This is especially important as both dislocations and 1-period flat bridges have a very strong quadratic guide CD dependence. The effect of the BCP anneal temperature, however is a bit more straightforward to understand. It could be expected that increasing the anneal temperature (within the decomposition range of the BCP system) helps the system to reach equilibrium faster. The increase in total defect density with increasing etch depth however could be due to two causes; one being an increase in the metrology sensitivity with increasing etch depth and two being more etch-induced defects being created with a longer etch duration or due to a mixture of both causes. While, it is apriori difficult to separate these two effects, further experiments are on-going to decouple the effect of change in the metrology sensitivity with the increasing etch depth. Proc. of SPIE Vol M-9

10 ` _.. ` ` 800. i :. ` 400 ti, 1. 1 '... ' ' ' ` ' ' - ' `, r tn ln lo U1 N. ln co U) o+ U1 ce, in co to rn ln o tn.-+ ri tn (No o ln ri l0 ri n ri QO r-i G1 N n ri p ri rj ei U1 oo o r1 oory o (V co u) Ñ o (V U1 (N o N U1 CV ri r-1 ri r-i r-i r-i r E' Pre-pattern exposure Si etch BCP anneal BCP anneal dose (mj /cm2) time (s) time (min) temperature (C) Figure 11: DoE model for predicting total defect density of our DSA flow as a function of the process parameters tested i ' Pre - pattern exposure dose (mj /cm2). Ó O Ó 11 O O.-I Ñ In Ñ BCP anneal time (min) O O Ó 0,1 fn l!1 t0 n CO 0. Cs -s N Ñ Ñ Ñ ~ ~ BCP anneal temperature (C) Si etch time (s) Figure 12: DoE model for the evolution of residues with topography Predictive models for dislocations are shown in Figure 13 and for 1-period flat bridges in Figure 14. The first observation for the dislocations model is that their density is extremely sensitive to the guide CD. As soon as we tread away from the optimal pre-pattern exposure dose (~18 mj cm -2 ) in either direction, there is a steep increase in the density of dislocations. This naturally comes from the incommensurability of the BCP s L 0 with the CD of the guide pattern. Another important conclusion from this model is that the anneal duration required to achieve zero dislocations density is dependent on the anneal temperature. For an anneal temperature of 250 O C (our previous PoR), the model predicts ~200 minutes to get rid of all dislocations. On the other hand, at 255 O C (our current PoR and the condition of the champion defect wafer of this experiment, zero dislocations density could be (theoretically) achieved in little less than an hour. Also, important to note that the model does not include the effect of the Si etch duration (within the tested range) in predicting the dislocations density (due to absence of a good correlation), implying that as long as the etch is deep enough to get enough inspection sensitivity, dislocations are always captured with the same sensitivity and that the etch process does not induce dislocations, which should not be a surprise to us. Proc. of SPIE Vol M-10

11 200 I. i i T I e + a Q ',, i li) i, CO O O o0 O O O ri ri ri ri N O O Om er In W ri N MN N N N 250 o C Pre -pattern exposure BCP anneal BCP anneal dose (mj /cm2) time (min) temperature (C), C r I 1 1 t I lfl 1 00 ü1 CO 00 O O O ri rl ri ri N O O Ñ N N `_.92 Pre -pattern exposure BCP anneal BCP anneal dose (mj /cm2) time (min) temperature (C) r Figure 13: DoE model for the evolution of dislocations (>4 periods) at 250 O C and 255 O C Density of 1 flat 1- period bridges (/cm2) 0 r , $ 18 R N 19 ñ 28 1C0 m v a C. 3 n E.' eo -a 250 b r 255 E Ñ NJ w r.. -r.. N 1 Figure 14: DoE model for predicting the density of 1-period flat bridges The model for the 1-period flat bridges also presents us with important learning points, especially when viewed along with that of the dislocations. It is clear that the 1-period bridges also have a clear guide CD dependence, but the exact opposite trend of the dislocations. This could be an ominous sign for DSA line/space flows as this might hint towards a compromise between the 2 major DSA-relevant defect modes, namely dislocations and 1-period bridges, a compromise that will not improve the desirability of DSA for yield sensitive high volume manufacturing. Gt. N Proc. of SPIE Vol M-11

12 Fortunately, we have established that the chemical composition (in other words surface energy and/or preferential behavior) of the DSA material(s) can be tuned independent from these process parameters to lower/spike-up the density of these 1-period bridges (results presented in the next section). This highlights two very important conclusions - One: For a successful DSA defect reduction approach, one should consider this strong interaction between the material(s) and process parameters as both these factors have a major impact on the DSA defect density. This could truly be considered as the take-home message from all the studies presented in this manuscript. The second conclusion is rather the flip side of the previous statement being: the variability in terms of the defect relevant material properties become quite important and should be carefully controlled and monitored to achieve a stable and low DSA defect performance. The same holds true for the level of process control (in addition to materials control) needed, which is fortunately not difficult to achieve in a semiconductor fab. Getting back to the DoE model, it is evident that the 1-period bridges could be annihilated by increasing the BCP anneal time and temperature. This strongly suggests that these bridges (at least a part of them) could be induced during the BCP phase separation, like dislocations. Additionally, for both the 1-period bridges and the residues, a minimum is predicted between minutes, very similar to the prediction of the total defect density. Further studies (with the same material batches) are planned to confirm the truth of this prediction. 3.4 Effect of the brush material As described in the previous section, we present an example of using the material composition to intentionally modify the relative distribution of individual defect modes. The PoR LiNe flow uses a neutral back-fill brush to enable perpendicular orientation the PS and the PMMA blocks landing on the back-fill region (Figure 1). As the hydrophobicity (in other words, the neutrality) of the brush material is altered, we observed that the process window in terms of the registration defects (like dislocations) and the relative densities of 1-period flat bridges can be modulated quite dramatically. As seen in Figure 15, for the same BCP system and similar processing conditions, going from a lower to higher hydrophobic brush material, the densities of dislocations and bridges can be modified. This case-study strongly proves the importance for co-optimizing the process parameters for a given set of materials used in a particular flow. Additionally, this establishes the need for low variability in the DSA materials for a stable and low defect performance. %Field of views: 1- period bridges %Field of views: Dislocations á Hydrophobicity of brush layer Figure 15: Trend showing the changes in the densities of dislocations and 1-period flat bridges as a function of the chemical composition of the brush. The % of SEM images (field of views) with defects was calculated based on reviewing 1300 SEM images across 13 dies across the wafer for each brush composition. 3.5 Defect Step-down in 2014 The learning from the DSA of DSA and the DoE of DSA experiments were used to demonstrate a successful defect stepdown through the year 2014 (Figure 16). In January, our golden defect process resulted in a 200 cm -2 defect levels, mainly contributed by uniformity improvement in the Si etch process. Proc. of SPIE Vol M-12

13 The golden defect process in June 2014 resulted in ~110 defects cm -2 combining the optimized etch process and an optimized coat methodology of the DSA materials, namely XPS, NUL and BCP and by getting rid of the redundant soft bake steps before the anneal bake in each layer. In September 2014, we demonstrated a golden defect process of 48 cm -2. This was achieved mainly by using the optimal batch of DSA materials and by increasing the anneal temperature from 250 O C to 255 O C. In October 2014, we demonstrated the current golden defect process of 24 defects cm -2 by combining all the above optimizations with a new and optimized Si etch platform that gave better after-etch profiles. It should be noted that the dislocations capture rate of 76% was measured for this new etch depth and profile. The defect Pareto of the current golden defect process is shown in Figure 17. The residues spanning multiple periods still remain to be a major contributor to the total defectivity, followed closely by 1-period bridges and dislocations. It should be noted that our final defect density of 24 cm -2 includes both the DSA and non-dsa relevant defects. It is important to note that this reduction in the defect levels is coupled with a stark reduction in the anneal duration required to reach the golden defectivity performance. The current golden performance is 2.5 hours at 255 O C. Further efforts to reduce the BCP anneal duration to more CMOS compatible time scales is are on-going. omomo i i mmmmmommmm mmmmmmm m:n:mmm _ =1 mmmoommm_ CMAMMUMM EMI CCU mmmmm : mmm_ 111: mm o mm12::mmmm:mm_ mmmmmmmmmmommmm mmm:mmmmmmjle: momom i J A$1111 rvrvrvrvvwmnnrvarvs"11 FlaS11SmS91S8gSlOg gma9sgêrp $$Rp99mA' AiffI$$B$ICqqMY1p471 `9m ARw$$.^.,éRR AIM 14AR`m 012éff$ ' mm11 m 11 1A er w 9=' """m"= hrs 250 C Jan cm' Figure 16: Trend showing the golden defect performance of the 14 nm half-pitch LiNe flow through 2014 and the corresponding reduction in anneal duration from 12 hours to 2.5 hours. Proc. of SPIE Vol M-13

14 MN. Defect density (cm ') d 7 Nst fiipii II III II K4111IIIIIII 11 Figure 17: Defect Pareto distribution of the current golden defect performance. 4. CONCLUSIONS We have demonstrated a golden defect performance of 24 defects cm -2 in the 14 nm half-pitch LiNe flow. This was aided by an all-round optimization of many processes, including Si etch process, spin-coat step of the DSA materials, finetuning of the BCP anneal conditions and most importantly, using the optimal batch of the bloc co-polymer. We have also established that we currently have a capture rate of 76% for dislocations during our optical defect inspection after pattern transfer. This helps us in determining the confidence levels in our metrology. From the DSA of DSA experiment, we have shown that the XPS coat and NUL process steps are the major contributors for the dislocations and the 1-period flat bridges. More importantly, the DSA of DSA showed that the root cause(s) for all the DSA relevant defect modes we see after pattern transfer could be identified in one or the other intermediate process step. No fundamental limitation for DSA defect reduction was observed. The most important inference from this manuscript is the importance of understanding the contribution of the DSA materials to the final defect levels. The ability to tune the chemical composition (in other words, surface energy/preferential behavior) of the DSA materials provides us with a unique opportunity to tune the defect levels in a DSA flow to a minimum. In other words, it is of utmost importance to monitor and control the variability in the defect relevant material parameters for a stable defect performance. Understanding the relevance and co-optimizing the process conditions and the material properties can be deemed as vital in understanding DSA defect root causes and mitigating them. It is in this regard that exercises like the DoE presented in this manuscript give valuable and dependable insight towards achieving single digit and probably lower DSA defect levels. As a conclusion, we have demonstrated that DSA defect densities can be reduced with an aggressive all-round approach involving materials and process improvements to a level where DSA could become more and more relevant for the end-users. More importantly, we have shown different strategies to identify and isolate the different root causes of the various defect modes observed in our DSA flow. This understanding is critical to maintain a stable and low defect performance in DSA flows. Proc. of SPIE Vol M-14

15 REFERENCES [1] Hinsberg, W. D., Cheng, J., Kim, H.-C., Sanders, D., "Self-assembling materials for lithographic patterning: overview, status, and moving forward," Proc. SPIE, Vol. 7637, 76370G-1 (2010). [2] Wong, P., Bencher, C., Bao, X.-Y., Chang, L.-W., "Block copolymer directed self-assembly enables sublithographic patterning for device fabrication," Proc. SPIE, Vol. 8323, (2012). [3] Kim, S. O., Solak, H. H., Stoykovich, M. P., Ferrier, N. J., de Pablo, J. J., Nealey, P. F., "Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates," Nature, 424, (2003). [4] Gronheid, R. et al., Defect reduction and defect stability in IMEC's 14nm half-pitch chemo-epitaxy DSA flow, Proc. SPIE, Vol. 9049, (2014). [5] Rincon, P.A. et al., Defect source analysis of directed self-assembly process (DSA of DSA), Proc. SPIE, Vol. 8680, 86800L1-9 (2013). [6] Gronheid, R. et al., High Throughput Grating Qualification for Rating Directed Self-Assembly Pattern Performance using Optical Metrology, Journal of photopolymer science and technology, Vol. 26, No. 2, Proc. of SPIE Vol M-15

Towards cost-effective and low defectivity DSA flows for line/space patterning

Towards cost-effective and low defectivity DSA flows for line/space patterning Towards cost-effective and low defectivity DSA flows for line/space patterning Hari Pathangi, Arindam Malik, B.T. Chan, Varun Vaid, Nadia Vandenbroeck, Roel Gronheid Jin Li, Baskaran Durairaj, JiHoon Kim,

More information

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ROEL GRONHEID, IVAN POLLENTIER (IMEC) TODD YOUNKIN (INTEL) MARK SOMERVELL, KATHLEEN NAFUS, JOSH HOOGE, BEN RATHSACK, STEVEN SCHEER (TOKYO

More information

Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system

Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system EMD Performance Materials Corp. Eri Hirahara, Margareta Paunescu, Orest Polishchuk, EunJeong

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Pattern Dependent Satellite Defects in Via Lithography

Pattern Dependent Satellite Defects in Via Lithography Pattern Dependent Satellite Defects in Via Lithography Chih-Chieh Yu*, Mars Yang, Elvis Yang, T. H. Yang, K. C. Chen and Chih-Yuan Lu Macronix International Co. Ltd, No. 16, Li-Hsin Rd., Science Park,

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool

DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool Ryota Harukawa a, Masami Aoki a, Andrew Cross a, Venkat Nagaswami a, Tadatoshi Tomita b, Seiji Nagahara c, Makoto Muramatsu b, Shinichiro

More information

Process Control and Yield Management Strategies in HBLED Manufacturing

Process Control and Yield Management Strategies in HBLED Manufacturing Process Control and Yield Management Strategies in HBLED Manufacturing Srini Vedula, Mike VondenHoff, Tom Pierson, Kris Raghavan KLA-Tencor Corporation With the explosive growth in HBLED applications including

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/321/5891/936/dc1 Supporting Online Material for Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly Ricardo Ruiz,* Huiman Kang, François

More information

EUV patterning improvement toward high-volume manufacturing

EUV patterning improvement toward high-volume manufacturing P63 EUV patterning improvement toward high-volume manufacturing 2015 International Workshop on EUV Lithography Tokyo Electron Kyushu Ltd. / SPE process dept. Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Development of block copolymer systems for directed self assembly at the University of Queensland

Development of block copolymer systems for directed self assembly at the University of Queensland Development of block copolymer systems for directed self assembly at the University of Queensland Imelda Keen, Han-Hao Cheng, Anguang Yu, Thomas Bennett, Ya-Mi Chuang, Kevin Jack, Kristofer Thurecht Andrew

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Directed Self Assembly of Block Copolymers

Directed Self Assembly of Block Copolymers Directed Self Assembly of Block Copolymers for CMOS technology R. Tiron, S.Tedesco, C.Couderc, J.Pradelles, S.Gaugiran, C.Lapeyre, L.Pain, CEA-LETI LoreaOrea, Francesc Perez-Murano, CNM AlaitzRuiz de Luzuriaga,

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Alisa Blauberg, Vikas Sachan, John Lemon, Garo Derderian GLOBALFOUNDRIES

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

In-situ Metrology for Deep Ultraviolet Lithography Process Control

In-situ Metrology for Deep Ultraviolet Lithography Process Control In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of

More information

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Yuanmei Cao, Kimberly Pollard, Travis Acra, Nichelle Gilbert, Richie Peters, Donald Pfettscher Abstract Negative

More information

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett,

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

Electrodeposition of Magnetic Materials

Electrodeposition of Magnetic Materials Electrodeposition of Magnetic Materials Neil Robertson HGST, a Western Digital Company San Jose Research Center San Jose, California Electrodeposition and the HDD Business HDD s are a very high volume

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II) Study of ADI (After Develop Inspection) n Photo Resist Wafers Using Electron Beam (II) Teruyuki Hayashi a, Misako Saito a, Kaoru Fujihara a,setsuko Shibuya a,y.kudou a,hiroshi Nagaike b Joseph Lin c,jack

More information

Microbridge reduction in negative tone imaging at photoresist pointof-use

Microbridge reduction in negative tone imaging at photoresist pointof-use Microbridge reduction in negative tone imaging at photoresist pointof-use filtration Toru Umeda* a, Tsukasa Yamanaka b, Naoya Iguchi b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi,

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders.

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

Optimizing spray coater process parameters

Optimizing spray coater process parameters Optimizing spray coater process parameters Dr. Eleonora Storace, Florian Palitschka, Dr. Dietrich Tönnies SUSS MicroTec Lithography GmbH Germany Published in the SUSS report 01/2014 E-mail: info@suss.com

More information

Improving material-specific dispense processes for low-defect coatings

Improving material-specific dispense processes for low-defect coatings Improving material-specific dispense processes for low-defect coatings Nick Brakensiek *a, Jennifer Braggin b, John Berron a, Raul Ramirez b, Karl Anderson b, Brian Smith a a Brewer Science, Inc., 2401

More information

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Introduction Xu Sun Laboratory of Photonics and Microwave Engineering, Royal Institute of Technology (KTH),

More information

High-resolution defect metrology for silicon BARC analysis

High-resolution defect metrology for silicon BARC analysis High-resolution defect metrology for silicon BARC analysis Brian Smith a, Steve McGarvey b, Zhimin Zhu a, Yubao Wang a, and Dan Sullivan a a Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO, USA 65401-7003

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

Effect of alignment mark depth on alignment signal behavior in advanced lithography

Effect of alignment mark depth on alignment signal behavior in advanced lithography Journal of Engineering Research and Education Vol. 5 () 7- Effect of alignment mark depth on alignment signal behavior in advanced lithography 1 Normah Ahmad, 1 Uda Hashim, Mohd Jeffery Manaf, Kader Ibrahim

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Improved overlay control using robust outlier removal methods

Improved overlay control using robust outlier removal methods Improved overlay control using robust outlier removal methods John C. Robinson 1, Osamu Fujita 2, Hiroyuki Kurita 2, Pavel Izikson 3, Dana Klein 3, and Inna Tarshish-Shapir 3 1 KLA-Tencor Corporation,

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

PROJECT PERIODIC REPORT

PROJECT PERIODIC REPORT PROJECT PERIODIC REPORT Grant Agreement number: 619456 Project acronym: SITOGA Project title: Silicon CMOS compatible transition metal oxide technology for boosting highly integrated photonic devices with

More information

Nanotechnology makes brighter LED s. Michael P.C. Watts

Nanotechnology makes brighter LED s. Michael P.C. Watts Nanotechnology makes brighter LED s Michael P.C. Watts www.impattern.com Outline Why are LED s such a big deal? Brightness; lumens per watt & lumens per dollar Applications How does nanotechnology help?

More information

Photolithography Process Technology

Photolithography Process Technology Contents Photolithography Process - Wafer Preparation - Photoresist Coating - Align & Expose - Photoresist Development Process Control CD Measurement Equipment Expose System & Wafer Track Consumables Chemicals

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work. 2. Experimental conditions Table 1 shows compositions of top coat and non top coat resist materials evaluated in this work. Resist A is a conventional ArF resist using immersion top coat. Resists B, C,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode.

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode. Supplementary Figure 1.The lithium polysulfide distribution on the patterned electrode. SEM image of the ITO-carbon electrode after dipping into Li 2 S 8 solution and drying, which shows the random distribution

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Improving Material-Specific Dispense Processes for Low Defect Coatings

Improving Material-Specific Dispense Processes for Low Defect Coatings Improving Material-Specific Dispense Processes for Low Defect Coatings Brian Smith* a, Raul Ramirez b, Jennifer Braggin b, Aiwen Wu b, Karl Anderson, John Berron a, Nick Brakensiek a and Carlton Washburn

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Journal of Photopolymer Science and Technology Volume 8, Number () 7 SPST Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Takuya Hagiwara, Kentaro Saito, Hiraku Chakihara,

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013 Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells Jonathon Dore SPREE Research Seminar - 27th June, 2013 Contents Introduction motivation for thin-film Thin-film PV technologies Diode laser

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

Macrophase Separation Using Block Copolymer Blends

Macrophase Separation Using Block Copolymer Blends Macrophase Separation Using Block Copolymer Blends Rachel Philiph 1,2, Kameron Oser 1, Sam Nicaise 1, and Karl Berggren 1 1 Department of Electrical Engineering and Computer Science, Massachusetts Institute

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

7 µc-si:h n-i-p solar cells on textured Ag ZnO:Al back reflectors

7 µc-si:h n-i-p solar cells on textured Ag ZnO:Al back reflectors 7 µc-si:h n-i-p solar cells on textured Ag ZnO:Al back reflectors 7.1 Introduction The present study on ZnO:Al and textured Ag back reflectors is aimed at application in thin film µc-si n-i-p solar cells.

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information