Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Size: px
Start display at page:

Download "Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu"

Transcription

1 ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: 82 Lomb Memorial Drive Rochester, NY Department webpage: Bulk_MEMS_Fabrication_Blog_2017.pptx Page 1

2 INTRODUCTION This document is a blog addressing the fabrication and testing of the MEMS wafers that were made as part of the MCEE770 MEMS Fabrication class. The students in the class provided individual design layouts that were merged into a single project chip design used to create the reticles for this project. Other documents provide details addressing the design, layout and fabrication for this project. Page 2

3 INTRODUCTION This document shows layout details for the 2017 Bulk MEMS class project. Pressure sensors and other devices based on a thin diaphragm (10um) of single crystal silicon can be realized. Resistors in the diaphragm will exhibit large changes in resistance in response to stress through the piezoresistance effect. This version of the process has three photolithographic layers applied to the top side of the wafer and one to the back side of the wafer. The starting wafer is a special double sided polished 150mm SOI wafer with 10 um silicon on a 1um buried oxide (BOX) on a 500um handle wafer. Page 3

4 GENERIC DEVICE CROSS SECTION n - type p - type 1 um BOX 10um hole etched from back side 500um Diffusion (Green) Layer 1.gds #1 Backside Hole (Purple Outline) Layer 2, gds#4 Contact Cut (White) Layer 3, gds#6 Metal (Blue) Layer 4, gds#7 Outline (Yellow Outline), gds# 9 Outline is only for layout, drawing a 4.5mm by 4.5mm outline, the maximum area for individual device designs. Page 4

5 STARTING WAFER N-type, Phosphorous, (100), 10um+/-1um, 1-10 ohm-cm BOX Oxide 1um +/-5% Handle Wafer Thickness 500um +/- 10um 1-10 ohm-cm 150mm diameter SOI wafers, Polished both top and bottom Page 5

6 10 mm MEMS MULTICHIP PROJECT TEMPLATE Total 10 mm by 10 mm including 1 mm for sawing into 4 chips. Wafer sawing is easier if all chips are the same size R1 R3 R2 R4 Your Design 4.5mm by 4.5mm design space for each project 4 different projects 5 mm Page 6

7 10 mm Total 10 mm by 10 mm including 1 mm for sawing into 4 4.5mm by 4.5 mm chips BULK MEMS CHIP FINAL LAYOUT Page 7

8 PRESSURE SENSOR + HEATER Pressure Sensor Heater Overlay and Resolution Diffused Resistors Length = 200um Width = 20 um Note: upper left is not connected so individual resistances can be measured. Page 8

9 LAYOUTS USING MENTOR GRAPHICS SOFTWARE Students did their design and layout using the computers in our VLSI Lab. Mentor Graphics software, Pyxis layout editor Page 9

10 MASK ORDER FORM Dr Fuller RIT BULK-MEMS-2017-Final.gds 4 10mm x 10mm x X yes, 4 levels per plate Page 10

11 MASK ORDER FORM DETAILS Layer Reticle Name Design.gds Layer # s Boolean Function Dark/ Clear Comment 1 st Diffusion 1 1 Inverted Dark Mirror 2 nd Cut 6 6 Inverted Dark Mirror 3 rd Metal 7 None Clear Mirror 4 th Hole 2 2 Inverted Dark No mirror Hole pattern is put on the back side of the wafer so it does not get mirrored. All the other layers are mirrored. Design Layer 9 Out (outline) is not used. It is only for placement of projects on the multi-project reticle template. Page 11

12 LAYER 1 DIFFUSION AND LAYER 2 CUT DIFFUSION.gds #1 CUT.gds #6 Page 12

13 LAYER 3 METAL AND LAYER 4 BACKSIDE HOLE METAL.gds #7 BACKSIDE HOLE.gds #4 Page 13

14 MASK PROCESS FLOW Data Prep Barcode, Titles, other Plus Layer Files CAD GDSII CATS Layer Files Job Files Pyxis by Mentor Graphics Computer Aided Transcription Software Etch Cr Inspect Develop Expose Coat Plate Maskmaking Inspect Clean Ship out This process can take weeks and cost between $1000 and $20,000 for each mask depending on the design complexity. Page 14

15 HEIDELBERG LASER WRITER The masks were made using the Heidelberg LASER writer at RIT. This tools is capable of writing on glass or quartz plates or wafers with spot size down to 0.5um. Page 15

16 4 LEVELS PER PLATE RETICLE FOR ASML Metal Four Levels on One plate Holes Contact Cut Layer Diffusion Layer Page 16

17 RETICLE FOR ASML CHROME SIDE NON-CHROME SIDE Page 17

18 BULK MEMS PROCESS FLOW 1. Starting wafer, 10um SOI 2. Grow 5000Å oxide, Recipe PH03 level 0, Marks 4. ET06 Wet Etch Alignment Marks 5. Strip resist and clean 6. Grow another 5000Å oxide, Recipe PH03 level 1 Diffusion + Hand Coat back of wafer with PR + oven bake 8. ET06 Wet Etch Oxide 9. ET07 Resist Strip, Solvent Strip 10. CL01- RCA Clean 11. IM01 - Implant 2E15, B11, Energy 80Kev 12. OX Å Steam Oxide, Anneal 13. PH03 level 2 Contact Cut + Hand coat back of wafer with PR + oven bake 14. ET29 Etch CC Oxide 15. ET07 - Resist Strip, Solvent Strip 16. CL01 RCA Clean two HF dips 17. Sputter metal 1um, 30min 18. Coat Backside with 2um Oxide - TEOS 19. PH03 level 3 Metal 20. ET55 Metal Etch wet 21. Strip Resist Solvent Strip 22. Sinter wafers 23. PH03 level 4 Back Hole +Hand Coat Front with PR +oven bake 24. Etch Oxide in Holes on Back 25. Solvent Strip Resist, Rinse, SRD 26. STS Etch Silicon from Back 27. TE01 wafer level testing 28. SAW1 Saw wafers 29. Packaging and Testing 30. Documentation Page 18

19 LAB SCHEDULE Monday 9:00 am Sai Rohit, Nicholas, Adam, Justin Tuesday 1:00 pm Kavana, Kartik, Jeff Friday 8:30 am Matthew, Eddie, Ryan, Arshia Students are expected to come to lab once per week. What was done to the wafers is documented in this Blog so that all students can have data and know about the progress. The Blog is updated after each lab session. Page 19

20 1 ST OXIDE GROWTH Today s goal: Step 1 and 2, Get starting wafers and grow 5000Å Oxide 1. Starting wafers were inspected and front side was identified. Front and back are both polished. The front was identified by the supplier in the shipping box. We could also see a ring around the wafer edge on the front side. 2. Recipe 350 on the Bruce Furnace will grow 5000Å oxide. Mean 5046Å Authors: Amendola, Chimakurthi, Rosenfeld, Zwick 13 Nov 2017 Page 20

21 1 ST OXIDE GROWTH Oxide Measurements Contour map Oxide Measurements 3D map 13 Nov 2017 Authors: Amendola, Chimakurthi, Rosenfeld, Zwick Page 21

22 ZERO LEVEL LITHOGRAPHY Today s goal: Steps 3,4,5,6 Resist coat, ASML Zero marks photo & develop, BOE etch, and Oxide Growth on two wafers. 1. Coated Ori620 Resist using Recipe Coat on the SSI Track 2. Measured Resist thickness on SpectraMap, 10,001Å 3. ASML Zero mark exposure. 4. Developed on SSI track using recipe DEVELOP :1 BOE Etch of alignment marks, 10 min, Rinse, SRD. 6. Strip Resist and Clean Wafers 7. Bruce Furnace Tube 1: Recipe #350, 5000 Å growth Authors: Amendola, Chimakurthi, Rosenfeld, Zwick 13 Nov 2017 Page 22

23 INSPECT ALIGNMENT MARKS Today s Goal: Verify that the alignment marks are good following second oxide growth. The purple lines have 5000Å oxide on silicon. The Blue/Green area has 8000Å oxide on silicon. There is a step in the silicon of 1200Å necessary for the ASML diffraction grating for alignment. ASML Alignment Marks on Device Wafers 8000Å 1200Å Step 5000Å Oxide Silicon Authors: Huang, Sasson, Thorna, Rolleston November 17, 2017 Page 23

24 EXPOSING GLASS WAFERS ON THE ASML Today s Goal: No reticle available today, so we can not do the next steps in the process. Instead we work on a way to verify alignment of back side pattern to front side pattern. We verified that the ASML will accept a glass wafer and expose it using only the ASML wafer flat pre align capability. The idea is to use the photoresist pattern on the glass wafer to check alignment by simply placing the glass wafer on top of a patterned wafer. Blank Glass wafer Authors: Huang, Sasson, Thorna, Rolleston November 17, 2017 Page 24

25 LEVEL 1 PHOTOLITHOGRAPHY Today s Goal: Step 7, Level 1 photolithography (Diffusion), hand-coat back of the wafer with photoresist, oven bake the wafer. Coated the wafers with photoresist OiR-620 on SSI Coat and Develop Track (1). (While coating the device wafers one after the other, both the wafers got stuck together in the HMDS vapor prime. This happened because these wafers were lighter in weight and thinner than the usual wafers that the track is programmed to work with. Later the wafers got coated properly.) Exposed the Photo level-1 (Diffusion) on the device wafers using ASML: Stepper Job: MCEE770_MEMS4X Energy: 250 mj/cm 2 Developed the wafers on SSI track. SSI track used to coat and develop wafers. Authors: Amendola, Chimakurthi, Rosenfeld, Zwick November 20, 2017 Page 25

26 LEVEL 1 PHOTOLITHOGRAPHY Today s Goal: Step 7, Level 1 photolithography (Diffusion), hand-coat back of the wafer with photoresist, oven bake the wafer. Wafer in the SSI track #2 getting hard baked after getting developed. The diffusion regions in Adam s design patterned on the wafer after photo level-1. Authors: Amendola, Chimakurthi, Rosenfeld, Zwick November 20, 2017 Page 26

27 HAND-COAT WAFERS AND OVEN BAKE Today s Goal: Step 7, Level 1 photolithography (Diffusion), hand-coat back of the wafer with photoresist, oven bake the wafer. The back side of the device wafers were hand-coated with photoresist OiR-620. After coating the wafers, they were baked in Blue M oven for 15 minutes at 90. Placing the wafer on the spintrack with back-side up to coat it with photoresist. Blue M Oven to bake the wafers for 15 minutes at 90. Authors: Amendola, Chimakurthi, Rosenfeld, Zwick November 20, 2017 Page 27

28 Today s Goal: Step 8, Wet etch oxide, ET06- Wet oxide etch in 10:1 BOE (586Å/min) for 16 minutes. Rinse and SRD. WET ETCH OXIDE Authors: Rolleston November 21, 2017 Page 28

29 SOLVENT STRIP RESIST AND RCA CLEAN Today s Goal: Step 9 and 10, solvent strip, RCA clean device wafers ET07- Solvent strip for 10min, rinse for 5min CL01- RCA clean Heated PRS-2000, 90 C RCA clean Authors: Rolleston November 21, 2017 Page 29

30 ION IMPLANT B11 FOR RESISTORS Today s Goal: Step 11, Ion Implant Boron, B11, Dose of 1E15, 100KeV Set up implanter. We obtained a beam current of 300uA, which gave us an implant time of ~10 min. Varian 350D Remote Control One wafer was completed then the Ion Implanter went down and the second wafer was not done. Varian 350D End Station Authors: Jeff Salzmann, Casey Gonta November 21, 2017 Page 30

31 GROW 3000Å OXIDE Today s Goal: Step 12, Grow 3000A Oxide in the one wafer that was ion implanted last week Recipe #330, 1100C 50 min, Steam Authors: Adam Rosenfeld November 28, 2017 Page 31

32 OXIDE GROWTH AND PHOTO LEVEL 2 Today s Goal: Steps 12,13,14; Oxide Growth, Resist coat, ASML Level 2 contact cut photo & develop, Hand coat back of the wafer, Oven bake and BOE etch on one wafer. 1. Bruce Furnace Tube: Recipe #330, 3000 Å growth completed 2. Coated Ori620 Resist using Recipe Coat on the SSI Track. 3. ASML level 2 contact cut exposure. 4. Developed on SSI track using recipe DEVELOP. 5. Hand coated back of the wafer and oven 110C for 20 min :1 BOE Etch the wafer for 10 min, Rinse in water for 5 min. No overlay error seen in x or y Spin Coat Resist Box Oven Authors: Jeff, Kavana, Kartik Wafer after etching Contact cut oxide November 28, 2017 Page 32

33 OXIDE GROWTH AND PHOTO LEVEL 2 Authors: Jeff, Kavana, Kartik November 28, 2017 Page 33

34 IMPLANTER FIXED WORK ON WAFER LEFT BEHIND Today s Goal: One wafer did not get implanted because the implanter went down after the first wafer was implanted. Today, now that the implant is fixed, we did two steps to move the one wafer forward. Step 11, Ion implant wafer, B11, 100KeV, Dose= 1E15 cm-2 Step 12, Grow 3000Å Oxide, Recipe #330, 1100C 50 min, Steam This wafer is still behind the first wafer because we moved the first wafer forward several steps. Next for this wafer is to do the contact cut lithography, etch the contact cuts, strip the resist and deposit metal. Authors: Nicholas November 30, 2017 Page 34

35 VERIFYALIGNMENT (BACK OF WAFER TO FRONT) Today s Goal: Earlier we learned that we could expose a glass wafer using the ASML stepper. The idea was to coat the glass wafer with photoresist, expose it with our reticle level 4 for back side holes, and after developing the glass wafer lay it on top of one of our patterned silicon wafers to see if hole pattern aligns with the resistors on the front side of the wafer. The alignment is based on using the ASML pre align sensor which is specified to be ~+/- 20um using the wafer flat only. The reticle patterns are normally mirrored prior to writing the mask so that the images and lettering are right reading on the front of the wafer. The reticle pattern for the back side of the wafer are not mirrored. We placed the glass wafer on top of a patterned wafer by hand and using a microscope verified that the reticles were made correctly and the alignment will be good. (better than by hand) Authors: Eddie November 30, 2017 Page 35

36 RESIST STRIP, RCA CLEAN (TWO HF DIPS) Today s Goal: The one wafer that already has the contact cuts etched is ready for steps 15 and 16, resist strip and RCA clean. Step 15: Resist strip is done in the solvent strip because there is photoresist on both the front and back side of the wafer. 5 min in bath 1, 5 min in bath 2, 5 min in DI, and SRD Heated PRS-2000, 90 C Step 16: RCA clean prior to metal deposition. Normal RCA clean but with a 2 nd HF dip at the end of the process to remove the chemically grown oxide from the SC2 bath. Followed by SRD. Wafers now ready for Aluminum deposition. Authors: Nicholas November 30, 2017 Page 36

37 ALUMINUM METAL DEPOSITION Today s Goal: Step 17: sputter Aluminum CVC 601 sputter tool No Heater during pump down 2 hour pump down time 5 min pre sputter at 2000 watts 30 min sputter of aluminum at 2000 watts, 5mTorr Measure thickness after patterning. Target 1um Authors: Jeff November 30, 2017 Page 37

38 P5000 DEPOSIT 2UM TEOS OXIDE Today s Goal: Step 19: Backside Oxide Deposition for deep etch mask AMT P5000, Chamber A TEOS Backside of wafer had about 1.0 µm thermally grown SiO 2 Need additional oxide of about 2.0 µm deposited to ensure it would survive deep etch After 2 runs of (nominal) 1M TEOS LS, oxide thickness measured 2.6 µm (Spectramapper) Ran additional 0.75µm deposition; final thickness measured 3.3 µm (Spectramapper) Page 38

39 METAL LITHOGRAPHY Today s Goal: Step 18: Metal Lithography, Level 3 Authors: Jeff November 30, 2017 Page 39

40 METAL LITHOGRAPHY Today s Goal: Step 18: Metal Lithography The Authors: Jeff November 30, 2017 Page 40

41 METAL ETCH Today s Goal: Step 19: Aluminum Wet Etch 50 C ~1.5 min. Visual end point (wafer turns dark) Authors: Patsy November 30, 2017 Page 41

42 AFTER SOLVENT STRIP AND SRD Today s Goal: Step 20: Strip resist from front and back of wafer 90 C 5min, 5min, DI water 5 min, SRD Authors: Jeff November 30, 2017 Page 42

43 AFTER SOLVENT STRIP AND SRD Authors: Jeff November 30, 2017 Page 43

44 Today s Goal: Step 19: Sinter Wafers SINTER WAFERS Recipe #99 Sinter at 400 C for 20 min., N2/H2 Authors: Eddie, Matt November 30, 2017 Page 44

45 DECEMBER 4 TH : STEPS 22 & 23 Today s Goal: Steps 22 & 23; Coat, Lithography, Develop, Oven Bake, BOE Etch. Performed Step 22 (PH03): Level-4 lithography (back hole), hand coat PR on the front side, oven bake Performed Step 23: Etch Oxide in holes on back. Authors: Chimakurthi, Amendola, Rosenfeld, Zwick December 4, 2017 Page 45

46 TRIALS AND TRIBULATIONS First attempt: Hand coated the back of the wafer, covered the carrier with tinfoil(to avoid the white light) and pre-exposure baked for 10min at 90C. Then exposed using the ASML[MEMS4X, MCEE , TEST4]. Hand developed in CD-26 for 45sec and water rinsed for 30sec. This dissolved all the photoresist. Restart: Solvent stripped(90c 5min, 5min, DI water 5min) and SRD Second Attempt: Wafers coated & developed using the SSI trac, as well as Exposed. Before sticking the device wafer with the other coated wafer, we hand coated PR on the front side of the device wafer and then tried to stick them together in the oven. For the wafers to be back etched using STS, we had to use a different wafer (coated with PR) and stick them both (front of our device wafer is stuck with the other wafer) in the oven (@90 C for 10 min). Finally, the wafers were stuck, and the holes were etched in the back in the BOE solution at the end. The wafer is now ready for the STS etch. Page 46

47 DEEP REACTIVE ION ETCH Today s Goal: Step 24: STS Etch Silicon from Back STS ASE Deep Silicon Etch 21 seconds/cycle Autotune match on coil generator Manually tune match on platen C 4 F 8, SF 6, O 2, and Ar process gases Handle thickness 525µm Cycle etch depth ~ 1µm 525 cycles Total time 3 hours, 10 minutes Author: Salzmann December 5, 2017 Page 47

48 DEEP REACTIVE ION ETCH Today s Goal: Step 24 (continued): Remove resist 1. PRS-2000 wet strip 20 90ºC 2. DI water rinse (10 room temperature) 3. IPA dry 4. Ash using recipe FFF (total ash time 1600 seconds) Ash was ineffective; robot mashed wafer after last iteration of ashing. DRIE nearly finished After 900 seconds ashing Author: Salzmann December 5, 2017 Page 48

49 DEEP REACTIVE ION ETCH Pictures of etched holes in Microelectronic backside Engineering of wafer Author: Salzmann December 5, 2017 Page 49

50 Today s Goal: Test some devices TESTING The first test we did was to place the wafer on a microscope stage and apply vacuum to the back side. You can see the diaphragm bend down and evaluate the alignment of the hole on the back side of the wafer with the structures on the front of the wafer. Alignment seems to be perfect. Movie as vacuum is applied and released repeatedly Picture with vacuum applied Author: Matt December 5, 2017 Page 50

51 Today s Goal: Test some devices TESTING More movies showing diaphragm movement as vacuum is applied to back of wafer. Different structures stiffen the diaphragm so that the bending is concentrated at the edges. Author: Matt December 5, 2017 Page 51

52 TESTING Today s Goal: Test some devices Next we do electrical tests to measure resistance and determine sheet resistance (knowing the resistor L and W. We also apply a DC voltage to opposite corners of the wheatstone bridge and measure the voltage at the two output nodes. The voltages should be equal to each other and approximately ½ of the applied voltage. The difference is the offset voltage. Offset could be due to the layout design or residual stresses. Author: Matt December 5, 2017 Page 52

53 TESTING Today s Goal: Test some devices 5 Volts +5 Volts R1 R3 R2 R4 Vo2 R1=427 R3=427 Vo1=2.5v Vo2=2.5v R2=427 R4=427 Vo1 Gnd Gnd Author: Matt December 5, 2017 Page 53

54 Today s Goal: Test some devices TESTING To do testing at different pressures the devices need to be packaged. MEMS Pressure Sensor Output Output Voltage (mv) y = x x Pressure (psi) Author: Matt December 5, 2017 Page 54

55 TESTING Today s Goal: Process wafer number 2 which was delayed at ion implant do to an equipment problem. This wafer was at step 11 Ion implant. That was done once the implanter was back up. It progressed quickly to step 18 which is sputter aluminum. The aluminum sputter was done. We learned that we do not want to have photoresist on the wafer when it is in the STS etcher for three hours to etch the holes through the wafer. The wafer must get hot and the photoresist is difficult (impossible) to remove after the etch. Instead of photoresist mask we decided to PECVD 2um of oxide from TEOS on the back of the wafer to act as a hard mask (plus the 1um of thermal oxide that is already there) Author: Matt December 5, 2017 Page 55

56 BULK MEMS PROCESS FLOW 1. Starting wafer, 10um SOI 2. Grow 5000Å oxide, Recipe PH03 level 0, Marks 4. ET06 Wet Etch Alignment Marks 5. Strip resist and clean 6. Grow another 5000Å oxide, Recipe PH03 level 1 Diffusion + Hand Coat back of wafer with PR + oven bake 8. ET06 Wet Etch Oxide 9. ET07 Resist Strip, Solvent Strip 10. CL01- RCA Clean 11. IM01 - Implant 2E15, B11, Energy 80Kev 12. OX Å Steam Oxide, Anneal 13. PH03 level 2 Contact Cut + Hand coat back of wafer with PR + oven bake 14. ET29 Etch CC Oxide 15. ET07 - Resist Strip, Solvent Strip 16. CL01 RCA Clean two HF dips 17. Sputter metal 1um, 30min 18. Coat Backside with 2um Oxide - TEOS 19. PH03 level 3 Metal 20. ET55 Metal Etch wet 21. Strip Resist Solvent Strip 22. Sinter wafers 23. PH03 level 4 Back Hole +Hand Coat Front with PR +oven bake 24. Etch Oxide in Holes on Back 25. Solvent Strip Resist, Rinse, SRD 26. STS Etch Silicon from Back 27. TE01 wafer level testing 28. SAW1 Saw wafers 29. Packaging and Testing 30. Documentation Page 56

57 METAL DEPOSITION Today s Goal: Step 17: Level 3- Metal CVC601, Al/Si Sputter Deposition Base Pressure 6.0 E-06 Torr 20 sccm Ar, 5 mtorr deposition pressure. 5 minute presputter, 30 minute 2000W Result: 1.12 µm, measured on P-2 profilometer Author: Salzmann December 7, 2017 Page 57

58 BACKSIDE OXIDE DEPOSITION Today s Goal: Step 18: Backside Oxide Deposition for deep etch mask AMT P5000, Chamber A TEOS Backside of wafer had about 1.0 µm thermally grown SiO 2 Need additional oxide of about 2.0 µm deposited to ensure it would survive deep etch After 2 runs of (nominal) 1M TEOS LS, oxide thickness measured 2.6 µm (Spectramapper) Ran additional 0.75µm deposition; final thickness measured 3.3 µm (Spectramapper) Author: Salzmann December 7, 2017 Page 58

59 METAL LITHOGHRAPHY, ETCH, SOLVENT STRIP Today s Goal: Process wafer number 2 which was delayed at ion implant do to an equipment problem. Step 19, 20, 21 Coat.rcp Expose Level 3 Develop.rcp Aluminum etch 6min, 50C Solvent strip, 5min., 5min., Rinse 5min., SRD Author: Matt, Eddie, Patsy, Casey, Ryan December 8, 2017 Page 59

60 SINTER WAFER Today s Goal: Process wafer number 2 which was delayed at ion implant do to an equipment problem. Step 22 Sinter 400C, 20 min Sintering Recipe 99 Author: Matt, Eddie, Patsy, Casey, Ryan December 8, 2017 Page 60

61 LITHOGRAPHY FOR BACKSIDE HOLES, ETCH OXIDE Today s Goal: Process wafer number 2 which was delayed at ion implant do to an equipment problem. Step 23, 24 Step 23 - Level 4 Lithography for back side of wafer SSI Coat.rcp ASML Expose level 4 SSI Develop.rcp Coat front side by hand spinning Oven bake Step 24 Wet Etch in 5.2:1 BOE for 16 min. Author: Matt, Eddie, Patsy, Casey, Ryan December 8, 2017 Page 61

62 STS ETCH DONE STS ASE Deep Silicon Etch 21 seconds/cycle Autotune match on coil generator Manually tune match on platen C4F8, SF6, O2, and Ar process gases Handle thickness 525µm Cycle etch depth ~ 1µm 600 cycles Total time 3 hours And 20 minutes Authors: Amendola, Chimakurthi, Rosenfeld, Zwick 11 DEC 2017 Page 62

63 BACK SIDE OF COMPLETED WAFERS Page 63

64 COMPLETED WAFER MOVIE Page 64

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu

Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Surface MEMS Fabrication Blog Dr. Lynn Fuller, Adam Wardas Webpage:

Surface MEMS Fabrication Blog Dr. Lynn Fuller, Adam Wardas Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Surface MEMS Fabrication Blog Dr. Lynn Fuller, Adam Wardas Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650/731 Projects for 2004-2005 Jeremiah Hebding Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester,

More information

Dr. Lynn Fuller, Motorola Professor Steven Sudirgo, Graduate Student

Dr. Lynn Fuller, Motorola Professor Steven Sudirgo, Graduate Student ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk Micromachined Pressure Sensor Dr. Lynn Fuller, Motorola Professor Steven Sudirgo, Graduate Student 82 Lomb Memorial Drive Rochester, NY

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

CMOS Factory Laboratory

CMOS Factory Laboratory ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING CMOS Factory Laboratory Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller

Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROBLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

Dr. Lynn Fuller Webpage:

Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Microelectromechanical Systems (MEMs) Process Integration Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID: STEP 0.00 - PHOTOMASK #0- ZERO LEVEL MARKS Starting materials is n-type silicon (5-10 ohm-cm). Add four test wafers labeled T1-T4. T1 and T2 will travel with the device wafers and get all of the processing

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

4/10/2012. Introduction to Microfabrication. Fabrication

4/10/2012. Introduction to Microfabrication. Fabrication Introduction to Microfabrication Fabrication 1 MEMS Fabrication Flow Basic Process Flow in Micromachining Nadim Maluf, An introduction to Microelectromechanical Systems Engineering 2 Thin Film Deposition

More information

Design and Fabrication of a Micromechanical Pressure Sensor

Design and Fabrication of a Micromechanical Pressure Sensor Design and Fabrication of a Micromechanical Pressure Sensor Neal V. Lafferty Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract A Microelectromechanical (MEMS) pressure

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques:

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques: Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques: Participant Names: Moriah Faint, Marcos Rodriguez Mentor: Frank Tsang Date: 1 Introduction

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Because of equipment availability, cost, and time, we will use aluminum as the top side conductor Top Side Conductor vacuum deposition Aluminum sputter deposit in Argon plasma CVC 601-sputter deposition

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage:

Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Process Improvement Projects May 2006 Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Process Improvement Projects May 2006 Dr. Lynn Fuller 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Total Points = 110 possible (graded out of 100)

Total Points = 110 possible (graded out of 100) Lab Report 1 Table of Contents 1. Profiles & Layout (9 Points) 2. Process Procedures (20 points) 3. Calculations (36 Points) 4. Questions (35 Points) 5. Bonus Questions (10 Points) Total Points = 110 possible

More information

Nanoelectronics Fabrication Facility

Nanoelectronics Fabrication Facility Nanoelectronics Fabrication Facility Contents Introduction 2 Mask Making Module 4 Photolithography Module 6 Wet Etching and CMP Module 8 Dry Etching and Sputtering Module 10 Thermal Process and Implantation

More information

TANOS Charge-Trapping Flash Memory Structures

TANOS Charge-Trapping Flash Memory Structures TANOS Charge-Trapping Flash Memory Structures A Senior Design by Spencer Pringle 5/8/15 Table of Contents Motivation Why Charge-Trapping Flash (CTF)? Charge-Trapping vs. Floating Gate Electronically-Erasable

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Development of Silicon Pad and Strip Detector in High Energy Physics

Development of Silicon Pad and Strip Detector in High Energy Physics XXI DAE-BRNS High Energy Physics Symposium 2014, IIT Guwahati Development of Silicon Pad and Strip Detector in High Energy Physics Manoj Jadhav Department of Physics I.I.T. Bombay 2 Manoj Jadhav, IIT Bombay.

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

User Fees for the 4D LABS Fabrication Facility

User Fees for the 4D LABS Fabrication Facility User Fees for the 4D LABS Fabrication Facility 1. Clean Room Fees Service Clean Room access: Includes use of all Clean Room tools except for those in the groups listed below Group I tools: Plasma reactors

More information

EE C245 / ME C Cory. symmetric in both. polysilicon.

EE C245 / ME C Cory. symmetric in both. polysilicon. PROBLEM SET #6 Issued: Thursday, Nov. 4, 2010. Due (at 7 p.m.): Tuesday, Nov. 23, 2010, in the EE C2455 HW box in 240 Cory. 1. Suppose you would like to fabricate the folded-beam suspended comb-driven

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

RIT s Sub-CMOS Process (Leff < 1.0 µm) Dr. Lynn Fuller Suraj Bhaskaran and Ivan Puchades

RIT s Sub-CMOS Process (Leff < 1.0 µm) Dr. Lynn Fuller Suraj Bhaskaran and Ivan Puchades ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING RIT s (Leff < 1.0 µm) Dr. Lynn Fuller Suraj Bhaskaran and Ivan Puchades 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS Christopher 3. Waskiewicz 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT ~ Tegal 700 plasma etcher was used to etch

More information

Be careful what you wish for

Be careful what you wish for Be careful what you wish for Four Point Probe Key measurement tool in microelectronics fabrication What is Four Point Probing Four Point Probing is a method for measuring the resistivity of a substance.

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

NMOS Transistor Design and Fabrication for S-Parameter Extraction

NMOS Transistor Design and Fabrication for S-Parameter Extraction James, A.L. 23 ~ Annual Microelectronic Engineering Conference. May 25 NMOS Transistor Design and Fabrication for S-Parameter Extraction Adam L. James, Student Member, IEEE,4 bstrad A successful test layout

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

EE432/532 CYMOS PROCESS PWELL LITHOGRAPHY AND DIFFUSION

EE432/532 CYMOS PROCESS PWELL LITHOGRAPHY AND DIFFUSION EE432/532 CYMOS PROCESS PWELL LITHOGRAPHY AND DIFFUSION [Document subtitle] GROUP 4 GROUP 4 (TUESDAY AFTERNOON) GROUP LEADER: ANDREW MCNEIL GROUP MEMBERS: WENG HOONG LOO MARIO PEREZ ZHIHAO LIAO LAB INSTRUCTOR

More information

CyMOS process Spring 2016 Iowa State University

CyMOS process Spring 2016 Iowa State University CyMOS process Spring 2016 Iowa State University Start Date Starting Material Orientation: Dopant: Resistivity: Ω cm Doping Concentration: cm 3 Diameter: inch Thickness: µm Lot Identification: Wafer Count

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

RIT s Sub-CMOS Process (Leff < 1.0 µm) Dr. Lynn Fuller Suraj Bhaskaran and Ivan Puchades

RIT s Sub-CMOS Process (Leff < 1.0 µm) Dr. Lynn Fuller Suraj Bhaskaran and Ivan Puchades ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING RIT s Sub-CMOS Process (Leff < 1.0 µm) Dr. Lynn Fuller Suraj Bhaskaran and Ivan Puchades 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel

More information

DEPARTMENT OF MECHANICAL SCIENCE AND ENGINEERING UNIVERSITY OF ILLINOIS. ME498 PV Class. Laboratory Manual on Fundamentals of Solar Cell Manufacturing

DEPARTMENT OF MECHANICAL SCIENCE AND ENGINEERING UNIVERSITY OF ILLINOIS. ME498 PV Class. Laboratory Manual on Fundamentals of Solar Cell Manufacturing DEPARTMENT OF MECHANICAL SCIENCE AND ENGINEERING UNIVERSITY OF ILLINOIS ME498 PV Class Laboratory Manual on Fundamentals of Solar Cell Manufacturing Prepared by Bruno Azeredo, and Dr. Elif Ertekin 9/21/2013

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

EE 432 CyMOS process PWELL Photolithography and Diffusion Feb. 23th 2017

EE 432 CyMOS process PWELL Photolithography and Diffusion Feb. 23th 2017 1 EE 432 CyMOS process PWELL Photolithography and Diffusion Feb. 23th 2017 Group III Jake Asmus (Leader) John Guss Shengliang Liu Xin Chen Lab instructor Matt Weinstein 2 Overview With the field oxide

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

LAM 490 Etch Recipes. Dr. Lynn Fuller

LAM 490 Etch Recipes. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM 490 Etch Recipes Dr. Lynn Fuller Professor, Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Via Fill in Small Trenches using Hot Aluminum Process. By Alice Wong

Via Fill in Small Trenches using Hot Aluminum Process. By Alice Wong Via Fill in Small Trenches using Hot Aluminum Process By Alice Wong Goals for Project Good Via Fill in Small contact holes using hot aluminum process Be able to get good images of the contact holes using

More information