and Technology of Thin Films

Size: px
Start display at page:

Download "and Technology of Thin Films"

Transcription

1 An Introduction to Physics and Technology of Thin Films

2 This page is intentionally left blank

3 An Introduction to Physics and Technology of Thin Films Alfred Wagendriste1 Institute of Applied and Technical Physics Technical University of Vienna YumingWang Department of Materials Science Jilin University b World Scientific II Singapore New Jersey London Hong Kong

4 Published fry World Scientific Publishing Co. Pte. Ltd. POBox 128, Farrer Road, Singapore 9128 USA office: Suite IB, 1060 Main Street, River Edge, NJ An Introduction to Physics and Technology of Thin Films Downloaded from UK office: 73 Lynton Mead, Totteridge, London N20 8DH Library of Congress Cataloging-in-Publication Data Wagendristel, Alfred. An introduction to physics and technology of thin films I Alfred Wagendristel, Yuming Wang. p. cm. Includes bibliographical references and index. ISBN Thin films. 2. Thin film devices. I. Wang, Yu-ming. II. Title. QCI76.83.W '175--dc ClP Copyright 1994 by World Scientific Publishing Co. Pte. Ltd. All rights reserved. This book, or parts thereof, may not be reproduced in any form or by any means. electronic or mechanical. including photocopying. recording orany information storage and retrieval system now known or to be invented. without written permission from the Publisher. For photocopying of material in this volume, please pay a copying fee through the Copyright Clearance Center, Inc., 27 Congress Street, Salem, MA 01970, USA. Printed in Singapore.

5 INTRODUCTION A thin film is a liquid or solid such that one of its linear dimensions is very small in comparison with the other two dimensions. Usually one classifies thin films (arbitrarily) into: thick films (D > 1 micrometer, D : film thickness) thin films (D < 1 micrometer). This book discusses mainly the systems of a (solid) film on a (solid) substrate (backed films) rather than unsupported films (foils). They (film + substrate) imply a production process in the form of film growth by sustaining an atomic or molecular flux to the surface of the substrate and subsequently by growing of the film. Film growth will either involve chemical reaction at the substrate such as discharge of ions, decomposition of a compound, reaction of a gas or liquid with substrate surface; or physical processes such as evaporation from a source and sputtering from a target, then condensation onto the substrate. The history of thin film technologies is as follows: 1650 Interference colors of thin liquid film on a liquid surface (oil on water) were observed by R. Boyle, R. Hooke, and I. Newton Electrodeposition (by M. Faraday), Chemical reduction deposition, Film formation during glow discharge (by W. Grove), and Evaporation of metallic wires by current (by T. A. Edison) were discovered.

6 Solid films produced by the first two methods received early recognition for their technical importance as anticorrosive films or films for mirrors, whereas those prepared by the latter methods lacked reproducibility for a long time. Only since the improvement of vacuum equipment for film preparation as well as for investigation (electron microscopy, LEED, other surface analytical techniques) were reproducible and useful films readily obtained. Since 1950 a vigorous development arose by production of 1940 Films for optical, electronic, mechanical, and protective applications Semiconductor electronics made use of thin film methods which reveal two major merits: mass fabrication by printing techniques, and miniaturisation by integration (integration density: 1966: 50 elements/mm2; 1974: 500 elements/mm2; 1980: 5000 elements/ mm2> Main fields of application: computer electronics, commercial electronics, medical electronics, space technology, and energy conversion (solar cells). Optical applications of metal and dielectric films: filters, reflection coatings, optical wave guides for opto-electronic communications of semiconducting films: IR-sensors and thin film laser diodes. Magnetic and superconducting films for memory and logical devices. Basic scientific interest is focussed on film formation processes in order to obtain an insight into the mechanisms leading to special structural properties: Island-, labyrinth-, continuous films (macrostructure) amorphous, polycrystalline, single crystal films (microstructure) on film stability (the mobility of atoms in the films enable relaxation and heterodiffusion). It is, however, not only the film structure but also the limited thickness which determines the physical properties. Thus the geometric anisotropy and size effects should also be studied. In the following chapters, firstly methods of film deposition with special emphasis on the basic physical phenomena, then the fundamental processes in film formation, and finally some thin film specific properties and their technical relevance will be discussed.

7 Introduction PART I CONTENTS Chapter 1. Thin Film Deposition Methods 1.1. Chemical Methods Electroplating Electroless plating Chemical vapor deposition Hydrophily Anodization Thermal growth Summary 1.2. Physical Methods Sputter deposition Physical fundamentals Technical aspects Sputtering yields Evaporation Physical fundamentals Technical aspects aansport of particles from source to substrate

8 viii Content. An Introduction to Physics and Technology of Thin Films Downloaded from Chapter 2. Theories of Nucleation and Film Growth Adsorption Film Growth Epitaxial Growth 38 Chapter 3. Control and Measurement of Film Thickness Rate and Thickness Monitors Measurement of vapor density Balance methods Vibrating quartz method Optical monitoring Other monitors Measurement of Film Thickness Optical film thickness determination X-ray interferometry Stylus methods 51 Chapter 4. Electrical Conduction in Thin Films Electron Transport in Metallic Films Conduction in discontinuous films Conduction in continuous films Practical aspects and applications Electron transport through insulating film 61 Chapter 5. Dielectric Properties of Thin Insulator Films Dielectric Constant Dielectric Loss Breakdown Voltage Thin Film Capacitors 66

9 Content. ix An Introduction to Physics and Technology of Thin Films Downloaded from Chapter 6. Supercollducting Films 6.1. General Features 6.2. Thin Film Effects 6.3. Applications, Thin Film Cryogenic Devices Switching elements, cryotrons Further applications Chapter 7. Semiconducting Films 7.1. Physical Fundamentals Electrical conduction ~n junctions Field effect 7.2. Devices for Integrated Circuits 7.3. The Thin Film Transistor 7.4. Photovoltaic Cells PART II Principle Practical aspects Future aspects Chapter 8. Thin Ferromagnetic Films 8.1. General 8.2. Fundamental Energy contributions External field Stray field, demagnetizing field Anisotropy Exchange energy Domain walls - Wall energies 8.3. Magnetization Reversal in Uniaxial Thin Films Switching by coherent rotation of M in single domain films

10 x Contenl6 An Introduction to Physics and Technology of Thin Films Downloaded from External field when H II EA External field when H II HA Arbitrary direction of H Reversal of M by domain growth Reversal by partial incoherent rotation and domain motion Fast switching 8.4. Applications Magnetic switching devices Preparation High speed random access memories Domain storage devices Bubble domains Domain wall motion devices Magnetic recording Chapter 9. Diffusion in Thin Films 9.1. General Fundamental Energy considerations Activation energy Energy barriers and jump frequencies in a driving force Average atomic displacements Atomic fluxes and diffusion coefficients Diffusion in Structural Inhomogeneous Systems Analytical approaches to grain boundary diffusion Application to Thin Films Whipple treatment of thin film depth profiles Short circuit diffusion Numerical approaches to grain-boundary-supported diffusion in thin films 121

11 Contents An Introduction to Physics and Technology of Thin Films Downloaded from Mean diffusion rates Diffusion into moving grain boundaries Diffusion in systems with miscibility gaps and intermediate phases 9.5. Selected Experimental Results Experimental techniques Chapter 10. Mechanical Properties of Thin Films General Micromorphology of Evaporated and Sputtered Deposits Evolution of the microstructure Internal stresses Model for growth-induced stress Further influence not accounted for in the model Determination of Mechanical Properties in Thin Films Internal stress References Index

DIAMOND NANOTECHNOLOGY. Syntheses and Applications

DIAMOND NANOTECHNOLOGY. Syntheses and Applications DIAMOND NANOTECHNOLOGY Syntheses and Applications DIAMOND NANOTECHNOLOGY Syntheses and Applications James C Sung Kinik Company, National Taiwan University & National Taipei University of Technology, Taiwan

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

City University of Hong Kong

City University of Hong Kong City University of Hong Kong Information on a Course offered by the Department of Physics and Materials Science with effect from Semester A in 2013 / 2014 Part I Course Title: Thin Film Technology and

More information

The Entropy Crisis Downloaded from by on 04/25/18. For personal use only.

The Entropy Crisis Downloaded from  by on 04/25/18. For personal use only. This page intentionally left blank Guy Deutscher Tel Aviv University, Israel World Scientific NEW JERSEY LONDON SINGAPORE B E I J I N G SHANGHAI HONG KONG TA I P E I CHENNAI Published by World Scientific

More information

Alexander Chizhik Julian Gonzalez

Alexander Chizhik Julian Gonzalez Alexander Chizhik Julian Gonzalez Magnetic Microwires Alexander Chizhik Julian Gonzalez Magnetic Microwires A Magneto- Optical Study Published by Pan Stanford Publishing Pte. Ltd. Penthouse Level, Suntec

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

DNA Microarray Technology and Data Analysis in Cancer Research Downloaded from

DNA Microarray Technology and Data Analysis in Cancer Research Downloaded from This page intentionally left blank Shaoguang Li University of Massachusetts Medical School, USA Dongguang Li Edith Cowan University, Australia World Scientific N E W J E R S E Y L O N D O N S I N G A P

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Slide 1. Slide 2. Slide 3. Chapter 19: Electronic Materials. Learning Objectives. Introduction

Slide 1. Slide 2. Slide 3. Chapter 19: Electronic Materials. Learning Objectives. Introduction Slide 1 Chapter 19: Electronic Materials 19-1 Slide 2 Learning Objectives 1. Ohm s law and electrical conductivity 2. Band structure of solids 3. Conductivity of metals and alloys 4. Semiconductors 5.

More information

PHYSICSOF SOLARCELLS. Jenny Nelson. Imperial College, UK. Imperial College Press ICP

PHYSICSOF SOLARCELLS. Jenny Nelson. Imperial College, UK. Imperial College Press ICP im- PHYSICSOF SOLARCELLS Jenny Nelson Imperial College, UK ICP Imperial College Press Contents Preface v Chapter 1 Introduction 1 1.1. Photons In, Electrons Out: The Photovoltaic Effect 1 1.2. Brief History

More information

THIN NICKEL OXIDE LAYERS PREPARED BY ION BEAM SPUTTERING: FABRICATION AND THE STUDY OF ELECTROPHYSICAL PARAMETERS

THIN NICKEL OXIDE LAYERS PREPARED BY ION BEAM SPUTTERING: FABRICATION AND THE STUDY OF ELECTROPHYSICAL PARAMETERS THIN NICKEL OXIDE LAYERS PREPARED BY ION BEAM SPUTTERING: FABRICATION AND THE STUDY OF ELECTROPHYSICAL PARAMETERS Pavel HORÁK a,b, Václav BEJŠOVEC b, Vasyl LAVRENTIEV b, Jiří VACÍK b, Martin VRŇATA a,

More information

"Thin Film Technology" "Physics of Thin Films"

Thin Film Technology Physics of Thin Films D r d "Thin Film Technology" "Physics of Thin Films" Contents: Preface 1. Introduction 1.1. General 1.2. History 1.3. Definition of Terms 1.4. Applications of Thin Film Technology 1.5. Deposition Methods

More information

Chapter 1. Introduction to Thin Film Technology

Chapter 1. Introduction to Thin Film Technology Chapter 1. Introduction to Thin Film Technology Thin films are deposited onto bulk materials (substrates) to achieve properties unattainable or not easily attainable by substrates alone. How thin? 1 Properties:

More information

Wafer (1A) Young Won Lim 4/30/13

Wafer (1A) Young Won Lim 4/30/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

THIN FILM PHENOMENA KASTURI L. CHOPRA. Staff Scientist, Ledgemont Laboratory, Kennecott Copper Corporation Lexington, Massachusetts

THIN FILM PHENOMENA KASTURI L. CHOPRA. Staff Scientist, Ledgemont Laboratory, Kennecott Copper Corporation Lexington, Massachusetts THIN FILM PHENOMENA KASTURI L. CHOPRA Staff Scientist, Ledgemont Laboratory, Kennecott Copper Corporation Lexington, Massachusetts Adjunct Professor of Mechanical Engineering Northeastern University Boston,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Microstructural Characterization of Materials

Microstructural Characterization of Materials Microstructural Characterization of Materials 2nd Edition DAVID BRANDON AND WAYNE D. KAPLAN Technion, Israel Institute of Technology, Israel John Wiley & Sons, Ltd Contents Preface to the Second Edition

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

Surface Hardening of Steels Understanding the Basics

Surface Hardening of Steels Understanding the Basics Surface Hardening of Steels Understanding the Basics Edited by J.R. Davis Davis & Associates ASM International Materials Park, OH 44073-0002 Copyright 2002 by ASM International All rights reserved No part

More information

Thermodynamics of Surfaces and Interfaces

Thermodynamics of Surfaces and Interfaces Thermodynamics of Surfaces and Interfaces An accessible yet rigorous discussion of the thermodynamics of surfaces and interfaces, bridging the gap between textbooks and advanced literature by delivering

More information

China s Energy. Industrial Map of. Industrial Map of China's Energy Downloaded from

China s Energy. Industrial Map of. Industrial Map of China's Energy Downloaded from Industrial Map of China s Energy This page intentionally left blank Industrial Map of China s Energy China Industrial Map Editorial Committee China Economic Monitoring & Analysis Center World Scientific

More information

Materials Science and Engineering: An Introduction

Materials Science and Engineering: An Introduction Materials Science and Engineering: An Introduction Callister, William D. ISBN-13: 9780470419977 Table of Contents List of Symbols. 1 Introduction. 1.1 Historical Perspective. 1.2 Materials Science and

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Micromachining AMT 2505

Micromachining AMT 2505 Micromachining AMT 2505 Shanmuga Raja.B (BVB0912004) Module leader : Mr. Raja Hussain Introduction Micromachining are inherently connected to the evolution of Micro Electro Mechanical Systems (MEMS). Decades

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Summary and Scope for further study

Summary and Scope for further study Chapter 6 Summary and Scope for further study 6.1 Summary of the present study Transparent electronics is an emerging science and technology field concentrated on fabricating invisible electronic circuits

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

MEMSAND MICROSYSTEMS Design, Manufacture, and Nanoscale Engineering

MEMSAND MICROSYSTEMS Design, Manufacture, and Nanoscale Engineering MEMSAND MICROSYSTEMS Design, Manufacture, and Nanoscale Engineering Second Edition TAI-RAN HSU Microsystems Design and Packaging Laboratory Department of Mechanical and Aerospace Engineering San Jose State

More information

WELDING METALLURGY SECOND EDITION Sindo Kou Professor and Chair Department of Materials Science and Engineering University of Wisconsin A JOHN WILEY & SONS, INC., PUBLICATION WELDING METALLURGY SECOND

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Andrew Lamb Magefekt. Batteries

Andrew Lamb Magefekt. Batteries Andrew Lamb Magefekt Batteries Batteries Notes Where the fuel goes Energy density batteries What is a battery A Battery is a reversible chemical process that requires the movement of Electrons to reduce

More information

Molecular Beam Epitaxy (MBE) BY A.AKSHAYKRANTH JNTUH

Molecular Beam Epitaxy (MBE) BY A.AKSHAYKRANTH JNTUH Molecular Beam Epitaxy (MBE) BY A.AKSHAYKRANTH JNTUH CONTENTS Introduction What is Epitaxy? Epitaxy Techniques Working Principle of MBE MBE process & Epitaxial growth Working conditions Operation Control

More information

INTERNATIONAL PROJECT MANAGEMENT:

INTERNATIONAL PROJECT MANAGEMENT: INTERNATIONAL PROJECT MANAGEMENT: LEADERSHIP IN COMPLEX ENVIRONMENTS International Project Management: Leadership in Complex Environments Copyright 2010 John Wiley & Sons, Inc. ISBN: 978-0-470-57882-7

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

INTERPRETATION OF TRANSMISSION ELECTRON MICROGRAPHS

INTERPRETATION OF TRANSMISSION ELECTRON MICROGRAPHS 3 INTERPRETATION OF TRANSMISSION ELECTRON MICROGRAPHS Already published in this series 1. The Operation and Calibration of the Electron Microscope 2. Electron Diffraction in the Electron Microscope In

More information

Observation in the GB (Gentle Beam) Capabilities

Observation in the GB (Gentle Beam) Capabilities A field-emission cathode in the electron gun of a scanning electron microscope provides narrower probing beams at low as well as high electron energy, resulting in both improved spatial resolution and

More information

Polycrystalline and microcrystalline silicon

Polycrystalline and microcrystalline silicon 6 Polycrystalline and microcrystalline silicon In this chapter, the material properties of hot-wire deposited microcrystalline silicon are presented. Compared to polycrystalline silicon, microcrystalline

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information

Handbook Of Thin Film Deposition Processes And Techniques (materials And Processing Technology)

Handbook Of Thin Film Deposition Processes And Techniques (materials And Processing Technology) Handbook Of Thin Film Deposition Processes And Techniques (materials And Processing Technology) R. Glang, in: Handbook of Thin Film Technology (L. I. Maissel and R. Glang, eds.) T. A. Delchar, Vacuum Physics

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization So-Ra Park 1,2, Jae-Sang Ro 1 1 Department of Materials Science and Engineering, Hongik University, Seoul, 121-791, Korea 2 EnSilTech

More information

CHAPTER 2 LITERATURE REVIEW

CHAPTER 2 LITERATURE REVIEW CHAPTER 2 LITERATURE REVIEW In this chapter, explains about the fundamental used and also about each stages of the design application for this final year project. This project consists of three stages

More information

Chapter 5: Atom and Ion Movements in Materials

Chapter 5: Atom and Ion Movements in Materials Slide 1 Chapter 5: Atom and Ion Movements in Materials 5-1 Slide 2 Learning Objectives 1. Applications of diffusion 2. Stability of atoms and ions 3. Mechanisms for diffusion 4. Activation energy for diffusion

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Disposable and Flexible Chemical Sensors and Biosensors Made with Renewable Materials

Disposable and Flexible Chemical Sensors and Biosensors Made with Renewable Materials Disposable and Flexible Chemical Sensors and Biosensors Made with Renewable Materials This page intentionally left blank Disposable and Flexible Chemical Sensors and Biosensors Made with Renewable Materials

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Thin Film Gas Sensor. Nanoelectronics and MEMS Laboratory National Electronics and Computer Technology

Thin Film Gas Sensor. Nanoelectronics and MEMS Laboratory National Electronics and Computer Technology Ion-assisted E-beam E Evaporated Thin Film Gas Sensor A. Wisitsoraat,, A. A Tuantranont,, V. V Patthanasettakul, T. Lomas,, and P. Chindaudom Nanoelectronics and MEMS Laboratory National Electronics and

More information

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Carl V. Thompson and Hang Z. Yu* Dept. of Materials Science and Engineering MIT, Cambridge, MA, USA Effects of intrinsic

More information

Materials Synthesis Via Directed Vapor Deposition

Materials Synthesis Via Directed Vapor Deposition Chapter 6 Materials Synthesis Via Directed Vapor Deposition 6.1 Overview Experimental work was undertaken to explore the ability of Directed Vapor Deposition to synthesize a variety of films in a low vacuum

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Optimization of the Sputtering Process for Depositing Composite Thin Films

Optimization of the Sputtering Process for Depositing Composite Thin Films Journal of the Korean Physical Society, Vol. 40, No. 3, March 2002, pp. 511 515 Optimization of the Sputtering Process for Depositing Composite Thin Films M. Farooq Pakistan Council of Renewable Energy

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

Basics of Solar Photovoltaics. Photovoltaics (PV) Lecture-21

Basics of Solar Photovoltaics. Photovoltaics (PV) Lecture-21 Lecture-21 Basics of Solar Photovoltaics Photovoltaics (PV) Photovoltaics (PV) comprise the technology to convert sunlight directly into electricity. The term photo means light and voltaic, electricity.

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY

FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY FUNDAMENTALS OF SEMICONDUCTOR PROCESSING TECHNOLOGY by Badih EI-Kareh IBM Corporation Graphics and Layout: Richard J. Bombard SPRINGER SCIENCE+BUSINESS

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Nanostructured engineered materials with high magneto-optic performance for integrated photonics applications

Nanostructured engineered materials with high magneto-optic performance for integrated photonics applications Edith Cowan University Research Online ECU Presentations 2008 Nanostructured engineered materials with high magneto-optic performance for integrated photonics applications Mikhail Vasiliev Edith Cowan

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

The next thin-film PV technology we will discuss today is based on CIGS.

The next thin-film PV technology we will discuss today is based on CIGS. ET3034TUx - 5.3 - CIGS PV Technology The next thin-film PV technology we will discuss today is based on CIGS. CIGS stands for copper indium gallium selenide sulfide. The typical CIGS alloys are heterogeneous

More information

CHAPTER 8 HALL EFFECT STUDIES

CHAPTER 8 HALL EFFECT STUDIES 171 CHAPTER 8 HALL EFFECT STUDIES 8.1 INTRODUCTION A world wide search for new materials with higher thermoelectric figures of merit and attempts to improve the thermoelectric properties of known compounds

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

METHODS OF COATING FABRICATION

METHODS OF COATING FABRICATION METHODS OF COATING FABRICATION Zbigniew Grzesik http://home.agh.edu.pl/~grzesik Department of Physical Chemistry and Modelling DEFINITION The coating is the thin outer layer of the object, which physiochemical

More information

Processing of Semiconducting Materials Prof. Pallab Benerji Department of Materials Science Center Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Benerji Department of Materials Science Center Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Benerji Department of Materials Science Center Indian Institute of Technology, Kharagpur Lecture - 11 Compound Semiconductors (Refer Slide Time: 00:20)

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

ELECTRICAL PROPERTIES OF CDS THIN FILMS SPIN COATED ON CONDUCTIVE GLASS SUBSTRATES

ELECTRICAL PROPERTIES OF CDS THIN FILMS SPIN COATED ON CONDUCTIVE GLASS SUBSTRATES UDC: 538.9 Condensed matter Physics, Solid state Physics, Experimental Condensed matter Physics ELECTRICAL PROPERTIES OF CDS THIN FILMS SPIN COATED ON CONDUCTIVE GLASS SUBSTRATES P. Samarasekara and P.A.S.

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

Thin Film Micro-Optics

Thin Film Micro-Optics Thin Film Micro-Optics New Frontiers of Spatio-Temporal Beam Shaping Ruediger Grunwald Max Born Institut for Nonlinear Optics and Short Pulse Spectroscopy Berlin, Germany ELSEVIER Amsterdam Boston Heidelberg

More information

Monte Carlo approach to island formation during thermal treatment of thin films*

Monte Carlo approach to island formation during thermal treatment of thin films* Monte Carlo approach to island formation during thermal treatment of thin films* Ann Marie Hardin December 6, 2006 *F. Lallet, R. Bachelet, A. Dauger, and N. Olivi-Tran, (2006) Physical Review B, 74 Outline

More information

Plastic Forming Processes

Plastic Forming Processes Plastic Forming Processes Plastic Forming Processes Maurice Reyne First published in France in 2006 by Hermes Science/Lavoisier entitled: Transformations, assemblages et traitements des plastiques First

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

Bio-Inspired Wettability Surfaces

Bio-Inspired Wettability Surfaces Yongmei Zheng Bio-Inspired Wettability Surfaces Bio-Inspired Wettability Surfaces Developments in Microand Nanostructures Yongmei Zheng Published by Pan Stanford Publishing Pte. Ltd. Penthouse Level,

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

BAK EVAPORATOR FAMILY

BAK EVAPORATOR FAMILY BAK EVAPORATOR FAMILY THE BAK A NEW GENERATION TAKING THE BEST FROM THE PAST, EXPANDING YOUR HORIZONS FOR THE FUTURE! The BAV 2000 - a production giant 2000 BAK SYSTEMS DELIVERED WORLDWIDE 2 BAK EVAPORATOR

More information

Application of Electronic Devices for Aerosol Deposition Methods

Application of Electronic Devices for Aerosol Deposition Methods Application of Electronic Devices for Aerosol Deposition Methods NAKADA Masafumi, KAWAKAMI Toshihiro, IWANAMI Mizuki, OHASHI Keishi Abstract Oxide materials have superior functional characteristics that

More information

INTRODUCTION TO MAGNETIC MATERIALS

INTRODUCTION TO MAGNETIC MATERIALS INTRODUCTION TO MAGNETIC MATERIALS Second Edition B. D. CULLITY University of Notre Dame С D. GRAHAM University of Pennsylvania 4>IEEE PRESS WILEY A JOHN WILEY & SONS, INC., PUBLICATION PREFACE TO THE

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

AN INTROOUCTION TO THE BASICS

AN INTROOUCTION TO THE BASICS An Introduction to the Basics of Reliability and Risk Analysis Downloaded from www.worldscientific.com AN INTROOUCTION TO THE BASICS OF RElABlTY RHO RISK ANALYSIS SERIES ON QUALITY, RELIABILITY AND ENGINEERING

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

MICROMAGNETISM AND THE MICROSTRUCTURE OF FERROMAGNETIC SOLIDS

MICROMAGNETISM AND THE MICROSTRUCTURE OF FERROMAGNETIC SOLIDS MICROMAGNETISM AND THE MICROSTRUCTURE OF FERROMAGNETIC SOLIDS HELMUT KRONMULLER MANFRED FÄHNLE Max-Planck-lnstitut fiir Metallforschung, Stuttgart, Germany CAMBRIDGE UNIVERSITY PRESS Acknowledgements page

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

PHS6317 NANO-ENGINEERING OF THIN FILMS

PHS6317 NANO-ENGINEERING OF THIN FILMS PHS6317 NANO-ENGINEERING OF THIN FILMS Ludvik Martinu, ing., PhD Professor Chairholder: NSERC Multisectorial Industrial Research Chair in Coatings and Surface Engineering ludvik.martinu@polymtl.ca www.polymtl.ca/larfis

More information

Nanoparticle Solar Cells

Nanoparticle Solar Cells Nanoparticle Solar Cells ECG653 Project Report submitted by Sandeep Sangaraju (sangaraj@unlv.nevada.edu), Fall 2008 1. Introduction: Solar cells are the most promising product in future. These can be of

More information

Laser Annealing of Amorphous Ni-Ti Shape Memory Alloy Thin Films

Laser Annealing of Amorphous Ni-Ti Shape Memory Alloy Thin Films Laser Annealing of Amorphous Ni-Ti Shape Memory Alloy Thin Films Xi Wang, Zhenyu Xue, Joost J. Vlassak Division of Engineering and Applied Sciences, Harvard University, Cambridge, MA, U.S.A. Yves Bellouard

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information