Flip chip bumping technology Status and update

Size: px
Start display at page:

Download "Flip chip bumping technology Status and update"

Transcription

1 Nuclear Instruments and Methods in Physics Research A 565 (2006) Flip chip bumping technology Status and update M. Juergen Wolf, Gunter Engelmann, Lothar Dietrich, Herbert Reichl Fraunhofer IZM, Gustav-Meyer-Allee 25, Berlin, Germany Available online 13 June 2006 Abstract Flip chip technology is a key driver for new complex system architectures and high-density packaging, e.g. sensor or pixel devices. Bumped wafers/dice as key elements become very important in terms of general availability at low cost, high yield and quality level. Today, different materials, e.g. Au, Ni, AuSn, SnAg, SnAgCu, SnCu, etc., are used for flip chip interconnects and different bumping approaches are available. Electroplating is the technology of choice for high-yield wafer bumping for small bump sizes and pitches. Leadfree solder bumps require an increase in knowledge in the field of under bump metallization (UBM) and the interaction of bump and substrate metallization, the formation and growth of intermetallic compounds (IMCs) during liquid- and solid-phase reactions. Results of a new bi-layer UBM of Ni Cu which is especially designed for small-sized lead-free solder bumps will be discussed. r 2006 Elsevier B.V. All rights reserved. Keywords: Packaging; Flip chip; Bumping; Electroplating 1. Introduction Assembly and packaging technology continue to change rapidly. In the last decade packaging has changed from simple single-die packaging to a complex system integration technology which represents an integral prerequisite for the manufacturing of new electronic products. Assembly and packaging needs are driven by market application requirements as well as silicon technology. Cost will drive technology trade-offs for all market segments. System in Package (SiP) technology has rapidly evolved from specialty technology used in a very narrow set of markets to a broad market base. The primary driver for SiP technology has been the need for more compact, more highly integrated electronics. Permanently growing integration density and increasing complexity of integrated circuits lead to further reduced I/O pitches. A general roadmap, forecasted by ITRS [1], of selected parameter for devices (memory, mp and ASICs) regarding I/O counts, pitch frequency and power dissipation is presented in Table 1. The selection of the interconnection technology for a specific application depends on topological, electrical and Corresponding author. address: juergen.wolf@izm.fraunhofer.de (M. Juergen Wolf). thermal considerations as well as reliability requirements, manufacturing and testing issues. The application of chip and wire bonding, which is the most commonly used interconnection technology today, is limited in terms of high I/O number, pad configurations and smallest pitches. Flip chip (FC) technology offers several advantages especially for high dense interconnects because the whole chip surface may be used for a large number of I/O pads in area array configuration. Shortest interconnection lengths result in excellent electrical performance of interconnects as well. 2. Flip chip and bumping technologies FC technology in contrast to chip and wire needs an additional process on wafer and/or substrate level the bumping [3 5]. Depending on the selected joining process different bump configurations are used (Fig. 1). An overview about currently used bump metallization for different applications is given in Table 2. For the metallization of the I/O pads, different bumping techniques have been developed. The most important are evaporation, electroplating, mechanical stud bumping and solder paste printing on wettable metallization like Ni/Au, as well as solder dispensing, immersion and solder transfer /$ - see front matter r 2006 Elsevier B.V. All rights reserved. doi: /j.nima

2 M. Juergen Wolf et al. / Nuclear Instruments and Methods in Physics Research A 565 (2006) Table 1 Forecasted evolution of packaging parameter [1] Year of prodution technology Technology node hp90 hp65 hp45 hp32 hp22 Chip pad pitch (mm) Wire bond ball Wire bond wedge Area array flip clip (cost performance, high performance) Peripheral flip chip (hand held, low cost, harsh) Package pincount maximum Low cost Cost performance (microprocessor/controller) High performance (microprocessor/controller) Harsh Chip frequency (MHz) Chip to board (off-chip) speed (high performance, for peripheral buses) On-chip (high performance) ,000 19,000 29,000 Allowed maximum power (W) High performance with heatsink Cost performance Battery (low cost/hand-held) process, the allowed joining temperature, the melting point of the solder, the integrity of the interfaces between the bump and the adjoining bond pad metallization, the bumping process compatibility and reliability requirements. To preserve the structural integrity of all assembly parts, the temperature hierarchy in the package processing must be taken into consideration as well. All bump variations listed above are well established on wafers at Fraunhofer IZM and available for specific customer requests. Fig. 1. Overview of FC approaches. techniques. Each technique meets different requirement in terms of bump sizes, pitches, metallization, etc. Solder paste printing meets the requirement regarding low cost but this process is limited to minimal bump pitches of 150 mm today. Wafer bumping by electroplating however, has the largest potential for realizing highest I/O densities with a pitch range from 200 to 25 mm. It is particularly suited for high volume production of bumped wafers at a highquality standard. As the value of wafers increases, the relatively high processing costs are less and less perceptible. The realization of bumps using electroplating can be divided into fundamental process steps, which are sputtering of the plating base, photoresist patterning, electroplating, differential etching, and, if required, a final solder reflow as shown in Fig. 2. The selection of the suited bump metal and under bump metallization (UBM) depends mainly on the assembly 3. Bumping process by electroplating Typically, circuit device wafers have aluminum alloy pads and an inorganic (e.g. SiO 2,Si 3 N 4, SiON) or organic passivation opened over the pads. InP or GaAs semiconductors for photonic and RF applications are using gold pads. By an initial sputter-etching step, the wafer surface is cleaned of contamination and metal oxides. After backsputtering an adhesion layer of Ti:W(N) with a thickness of nm is sputtered on the whole wafer, followed by a second layer of either 300 nm Cu or 200 nm Au as a plating base. The specific addition of nitrogen (N 2 ) during deposit of Ti (5 wt%) W (95 wt%) enhances the diffusion-barrier property. A Ti:W(N)/Au bump structure on aluminum pads has shown no degradation after annealing at 400 1C (1 h). With optimized sputter conditions, a low-stress deposition and a good homogeneity of layer thickness are achieved without any damage of CMOS structures [2]. Spin coating is used to deposit the high-viscous photoresist onto the wafer in the desired layer thickness.

3 292 ARTICLE IN PRESS M. Juergen Wolf et al. / Nuclear Instruments and Methods in Physics Research A 565 (2006) Table 2 Overview of different FC bump constructions Bump Wafer Wafer size UBM Bumping technology Application Au Si GaAs Ti:W/Au Al/Au Au/Au Electroplating stud TAB/TC FC adhesive Opto RF consumer, display Electroless Ni Si (12 00 ) Ni/Au Electroplating and immersion Au/solder FC soldering FC adhesive Consumer, Smart card priting AuSn GaAs InP Si Ti:W/Au Electroplating FC soldering Opto RF MEMS, medical PbSn 60 Si Ti:W/Cu/ep-Cu electroless Ni/Au Ni:V/Cu Cr/Cu Electroplating printing printing, ECD FC soldering Memory, RF telecommunication sensors, MEMS Ti/Ni PbSn 5 Si Cr/Cu Ti:W/CU Evaporation ECD FC soldering Automotive, processor harsh AgSn (Cu) Si GaAs Ti:W/Cu/ep-Cu Ti/NiV CrCu, Electroplating printing FC soldering Memory, telecommunication CuSn Si Ti:W/Cu/ep-Cu Ti/NiV Electroplating printing FC soldering Memory, telecommunication Fig. 2. Bumping process flow using electroplating. Layers of more than 45 mm can be realized by multi-spin coating with a good thickness homogeneity on all standard wafer sizes ( ). The used positive resist (AZ series) system shows a high transparency in the near UV spectrum, therefore a high depth-to-width aspect ratio and steep slopes of the generated structures are possible even in thick films. To dry the spin-coated resist film it is prebaked before printing. Then the wafer is aligned and exposed in the pad regions, which later will be covered by the bump structures. The exposed areas dissolve during the development in an alkaline fluid and the resist pattern appears. A final postbake is made to stabilize the photoresist for the following electroplating process. Especially for fine pitch

4 M. Juergen Wolf et al. / Nuclear Instruments and Methods in Physics Research A 565 (2006) bumping, a fine-tuning of the exposing, developing, and baking procedures is inevitable to get a high lithographic yield. Besides the resist patterning, another important aspect for the suitability of the photoresist system for bumping is an easy removal after the metal deposition. The bump metallurgy must not be corroded by the remover, and mechanical damage must absolutely not occur due to a swelling effect of the resist, which is not given by all resist systems. Today a number of commercial electroplating baths for different metals are available. The bath chemistry must be compatible to the photoresist system and should be insensitive to out-bleeding. Exceptionally cleaned makeup ingredients ensure deposits with a high purity and consequently well-defined electrical and mechanical properties. For solder bumping, a low co-deposition rate of organics is required to guarantee a low volume of outgassing during the reflow and bonding process. For this reason, relatively matt depositing electrolytes with a small amount of organic additives are preferred. Furthermore, the deposits have to show a well-defined bump shape and solder-alloy composition, low internal stress, non-porosity, as well as a negligible number of defects caused by dendrite formation, pittings or particle encapsulation. These attributes as a whole have to be adjusted by a suitable combination of all relevant deposition parameters like concentration of electrolyte components, current density and agitation strength. In particular cases, the optimization depends on the specific pattern layout as well. Figs. 3 and 4 show plated Au bumps and fine pitch solder bumps. Fig. 4. PbSn60 solder bumps on ATLAS read out circuit (25 mm size, 50 mm pitch). 4. Bump reliability The demand for Pb-free and high-density FC interconnection technology is growing rapidly. Lead-free FC solder joints require an increase in knowledge in the field of UBMs, solder materials, board metallization as well as in the interactions between these elements. Common UBMs Fig. 5. BSE images of bumps with UBM 1 (1.5 mm Ni/150 nm Cu) and UBM 2 (1.5 mm Ni/500 nm Cu) after solid-phase reaction with Sn solder at 150 1C/1000 h. Fig. 3. Fine pitch Au-bumps. have been tested with various lead-free solder systems to study the formation and growth of intermetallic compounds (IMCs) during liquid and solid-phase reactions [3]. Due to a high rate of IMC formation related to the consumption of the UBM these UBMs are often not recommended for lead-free applications at elevated tem-

5 294 ARTICLE IN PRESS M. Juergen Wolf et al. / Nuclear Instruments and Methods in Physics Research A 565 (2006) perature (150 1C) for devices with small solder joints. For such applications it would be advantageous to use UBMs showing a limited formation of IMCs at the interface UBM/solder to maintain the plasticity of the interconnect and the adhesion of the UBM to the diffusion barrier. A number of different UBM solder configurations (e.g. Cu PbSb60, Cu SnAg, NiV/Cu SnAg, Cr Cu/Cu SnAg, TiW/NiV) are investigated and results are reported in Refs. [4,5]. Fig. 6. FIB images of the interface UBM/solder with UBM 1 and UBM 2 after 90 s liquid-phase reaction at 250 1C. 5. Cu Ni bi-layer UBM for high reliable lead-free solder joints There are several possibilities to slow down the process of formation of IMCs occurring during liquid- and solidphase reactions between UBM and lead-free solder. Materials showing slower formation of IMCs than others may be chosen as UBM as it is true for Ni in comparison to Cu. In this case the process of UBM consumption is slowed down but it is not stopped. The problem that a Ni UBM tends to detach easily from the diffusion barrier layer after its total consumption due to IMC growth remains. The lifetime of a single-layer UBM (e.g. Cu) can be prolonged by increasing the thickness of the UBM. It is also possible to use alloy solders slowing down the UBM consumption as well. As the control of a multi-component bath for electroplating is difficult it is not opportune to electrodeposit Sn Ag Cu alloys as solder material. Fig. 7. SE images of the interface UBM/solder of UBM 1 and 2 after liquid-phase reaction at 250 1C with Sn in comparison to an electrodeposited Ni UBM with SnCu solder.

6 M. Juergen Wolf et al. / Nuclear Instruments and Methods in Physics Research A 565 (2006) A bi-layer Ni/Cu UBM for small-sized lead-free solderbumps was investigated to stabilize the formation of IMC and to increase the bump reliability. Fig. 5 shows cross-sections prepared from bumps after the chips had undergone thermal aging at 150 1C (1000 h) with UBM 1 (1.5 mm Ni/150 nm Cu) and UBM 2 (1.5 mm Ni/500 nm Cu). For UBM 1 a consumption of UBM was observed during solid-phase reaction connected to a growth of interfacial IMCs between UBM and solder. For UBM 2 no changes were observed at the interface UBM/solder. Fig. 6 shows both UBMs after liquid-phase reaction at 250 1C for 90 s (reflow conditions). Using UBM 1 a (Ni,Cu) 3 Sn 4 layer is formed in the early state of liquidphase reaction. Solder is in contact to the Ni layer initiating and allowing further growth of a Ni 3 Sn 4 or (Ni,Cu) 3 Sn 4 formation. In the case of UBM 2 a thin (Cu,Ni) 6 Sn 5 layer covering the Ni layer is suitable to prevent the formation of Ni 3 Sn 4. A closed layer of (Cu,Ni) 6 Sn 5 is formed and prevents the consumption of the Ni layer of the bi-layer UBM. The Cu layer serves as sacrificial layer forming (Cu,Ni) 6 Sn 5 IMCs during reflow. This (Cu,Ni) 6 Sn 5 IMC layer is suitable to block interdiffusion between Ni and the solder during solid-state aging. Liquid-phase reaction for both UBM constructions compared to Ni were also studied up to total duration of 900 s (Fig. 7). A 1.5 mm thick electrodeposited Ni UBM is already consumed after 900 s and spalls into the solder. With UBM 1 the appearance and growth of Ni 3 Sn 4 IMCs were observed. UBM 2 withstands multiple reflow up to a total time of 900 s where only a slight degradation of the UBM becomes visible [6,7]. 6. Summary Electroplating for bump formation is the technology of choice for high-density FC applications with a high yield. With this technology different solder compositions and metal structures can be realized. Compared to solder paste printing, pitches of approx. 150 mm (state-of-the-art), bump sizes of 10 mm diameter and 20 mm pitch are currently seen as a limitation for electroplating. Sensitive areas on device wafers (e.g. sensors) can be protected by a temporary layer during bump processing. Small-sized solder bumps (diametero20 mm) need an improved UBM construction. For lead-free solders (SnAg, SnCu, Sn) an UBM stabilization effect can be achieved by an adjusted bi-layer UBM of Ni Cu. Lead-free bumps with AuSn are well suited for flux-free FC assembly especially for photonic and RF applications [8]. This process can be easily implemented with electroplating as well. High stand-off bump construction (e.g. pillar bumps) at fine pitch can only be realized by electroplating. New bump construction (e.g. 3-axes compliant bumps) and nano-interconnects are under development for TCE matching chip-substrate and lowtemperature assembly. Acknowledgements The authors would like to thank the team members of the department High Density Interconnect & Wafer Level Packaging of Fraunhofer IZM. Special thanks to C. Jurenka, I. Kuna, M. Lutz, N. Ju rgensen, K. Samulewicza J. Ro der for support in wafer processing. References [1] TWG A&P. [2] L. Dietrich, et al., Wafer bumping technique using electroplating for high-dense chip packaging, in: Third Internat Symposium on Electronic Packaging Technology (ISEPT 98), Beijing, China, 17 20, August [3] M. Li, et al., J. Mater. Res. 17 (7) (2002) [4] Se-Y. Jang, M.J. Wolf, W.S. Kwon, K.W. Paik, UBM (under bump metallization study) for Pb-free electroplating bumping: interface reaction and electromigration, in: Proceedings of ECTC [5] M.J. Wolf, et al., Micromater. Nanomater. 3 (2004) 234. [6] C. Jurenka, G. Engelmann, M.J. Wolf, Effect of the Cu thickness on the stability of a Ni/Cu bilayer UBM of lead free microbumps, in: Proceedings of ECTC 2005, pp [7] G. Engelmann, M.J. Wolf, Microsystem Technol. (2005) 207. [8] M. Hutter, et al., Assembly and reliability of flip chip solder joints using miniaturized Au/Sn bumps, in: Proceedings of ECTC 2004, pp

Fraunhofer IZM Bump Bonding and Electronic Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer Institute for Reliability and Microintegration (IZM) Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: thomas.fritzsch@izm.fraunhofer.de

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

TIN-BASED LEAD-FREE SOLDER BUMPS FOR FLIP-CHIP APPLICATION. S. Yaakup, H. S. Zakaria, M. A. Hashim and A. Isnin

TIN-BASED LEAD-FREE SOLDER BUMPS FOR FLIP-CHIP APPLICATION. S. Yaakup, H. S. Zakaria, M. A. Hashim and A. Isnin TIN-BASED LEAD-FREE SOLDER BUMPS FOR FLIP-CHIP APPLICATION S. Yaakup, H. S. Zakaria, M. A. Hashim and A. Isnin Advanced Materials Research Centre (AMREC), SIRIM Berhad, Lot 34, Jalan Hi-Tech 2/3, Kulim

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

MEPTEC Semiconductor Packaging Technology Symposium

MEPTEC Semiconductor Packaging Technology Symposium MEPTEC Semiconductor Packaging Technology Symposium Advanced Packaging s Interconnect Technology Process Shift and Direction October 23, 2014 Jay Hayes- Director of Business Development -Bumping and Flip

More information

Effect of Multiple Flip-Chip Assembly on Joint Strength of AuSn solder in Hybrid Compact Optoelectronic Module

Effect of Multiple Flip-Chip Assembly on Joint Strength of AuSn solder in Hybrid Compact Optoelectronic Module 1 Effect of Multiple Flip-Chip Assembly on Joint Strength of AuSn solder in Hybrid Compact Optoelectronic Module K.-M. Chu, Student Member, IEEE, J.-S. Lee, H. Oppermann, G. Engelmann, J. Wolf, H. Reichl,

More information

Reaction of Sn-Bearing Solders with Nickel-based Under Bump Metallisations

Reaction of Sn-Bearing Solders with Nickel-based Under Bump Metallisations STR/03/069/ST Reaction of Sn-Bearing Solders with Nickel-based Under Bump Metallisations G. Qi, M. He and Z. Chen Abstract This work relates to wafer bumping technologies for flip chip packaging applications

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Bonding Technologies for 3D-Packaging

Bonding Technologies for 3D-Packaging Dresden University of Technology / Karsten Meier, Klaus-Juergen Wolter NanoZEIT seminar @ SEMICON Europa 2011 Dresden System integration by SoC or SiP solutions offer advantages regarding design efforts,

More information

CERN/NA62 GigaTracKer Hybrid Module Manufacturing

CERN/NA62 GigaTracKer Hybrid Module Manufacturing CERN/NA62 GigaTracKer Hybrid Module Manufacturing Fraunhofer Institute for Reliability and Microintegration Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: Fraunhofer IZM

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization

Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization W. J. Choi, a) E. C. C. Yeh, b) and

More information

Shear Strength in Solder Bump Joints for High Reliability Photodiode Packages

Shear Strength in Solder Bump Joints for High Reliability Photodiode Packages Materials Transactions, Vol. 44, No. 10 (2003) pp. 2163 to 2168 #2003 The Japan Institute of Metals Shear Strength in Solder Bump Joints for High Reliability Photodiode Packages Chong-Hee Yu 1, Kyung-Seob

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

JOINT INDUSTRY STANDARD

JOINT INDUSTRY STANDARD JOINT INDUSTRY STANDARD AUGUST 1999 Semiconductor Design Standard for Flip Chip Applications ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Semiconductor Design Standard for Flip Chip Applications About

More information

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction 3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction Gilbert Lecarpentier*, Jean-Stéphane Mottet* SET S.A.S. (Smart Equipment Technology), 131 Impasse Barteudet, 74490

More information

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany INTRODUCTION Modern microelectronic products require packages that address the driving forces of reduced size and weight, as well as increased performance at high frequencies. Flipchip and direct chip

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

A Roadmap to Low Cost Flip Chip Technology and Chip Size Packaging using Electroless Nickel Gold Bumping

A Roadmap to Low Cost Flip Chip Technology and Chip Size Packaging using Electroless Nickel Gold Bumping A Roadmap to Low Cost Flip Chip Technology and Chip Size Packaging using Electroless Nickel Gold Bumping T. Oppert, T. Teutsch, E. Zakel Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15-17, Germany

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar,,, and SnPb Bump Structures Ahmer Syed, Karthikeyan Dhandapani, Lou Nicholls, Robert Moody, CJ Berry, and Robert Darveaux Amkor Technology

More information

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf Fraunhofer IZM All Silicon System Integration Dresden Scope M. Juergen Wolf Fraunhofer IZM All Silicon System Integration - ASSID Dresden, Berlin, Germany Fraunhofer IZM Focus of Activities Materials,

More information

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. Metallization and Interconnects

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. Metallization and Interconnects FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect Metallization and Interconnects Learning objectives Metal heros Significance of selecting right metallization systems and examples

More information

UBM (Under Bump Metallization) Study for Pb-Free Electroplating Bumping : Interface Reaction and Electromigration

UBM (Under Bump Metallization) Study for Pb-Free Electroplating Bumping : Interface Reaction and Electromigration UBM (Under Bump Metallization) Study for Pb-Free Electroplating Bumping : Interface Reaction and Electromigration Se-Young Jang+, Juergen Wolf*, Woon-Seong Kwon, Kyung-Wook Paik Dept. Materials Science

More information

Investigation of UBM Systems for Electroplated Sn/37Pb and Sn/3.5Ag Solder

Investigation of UBM Systems for Electroplated Sn/37Pb and Sn/3.5Ag Solder Investigation of UBM Systems for Electroplated Sn/37Pb and Sn/3.5Ag Solder Se-Young Jang, Juergen Wolf*, Oswin Ehrmann*, Heinz Gloor**, Herbert Reichl*, Kyung-Wook Paik Korea Advanced Institute of Science

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT YOUR Strategic TECHNOLOGY PARTNER Wafer Back-End OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP PROTOTYping ENGINEERING TESTING SMT PRODUCTION CHIP ON BOARD SUPPLY CHAIN MANAGEMENT Next Level 0f

More information

Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics

Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics Michael Girardi, Daric Laughlin, Philip Abel, Steve Goldammer, John Smoot NNSA s Kansas City Plant managed by Honeywell

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes

Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes Hugh Roberts Atotech USA Inc., Rock Hill, SC, USA Sven Lamprecht, Gustavo Ramos and Christian Sebald Atotech Deutschland

More information

Development of an Low Cost Wafer Level Flip Chip Assembly Process for High Brightness LEDs Using the AuSn Metallurgy

Development of an Low Cost Wafer Level Flip Chip Assembly Process for High Brightness LEDs Using the AuSn Metallurgy Development of an Low Cost Wafer Level Flip Chip Assembly Process for High Brightness LEDs Using the AuSn Metallurgy Gordon Elger, Rafael Jordan, Maria v. Suchodoletz and Hermann Oppermann Fraunhofer Institute

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT YOUR Strategic TECHNOLOGY PARTNER Wafer Back-End OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP PROTOTYping ENGINEERING TESTING SMT PRODUCTION CHIP ON BOARD SUPPLY CHAIN MANAGEMENT Next Level 0f

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY Herbert J. Neuhaus, Ph.D., and Charles E. Bauer, Ph.D. TechLead Corporation Portland, OR, USA herb.neuhaus@techleadcorp.com ABSTRACT Solder

More information

Low Cost Wafer Bumping of GaAs Wafers

Low Cost Wafer Bumping of GaAs Wafers Low Cost Wafer Bumping of GaAs Wafers Andrew Strandjord, Thorsten Teutsch, Axel Scheffler, Bernd Otto, and Jing Li Pac Tech USA - Packaging Technologies, Inc. Santa Clara, CA USA 95050 408-588-1925 Abstract

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Wafer Level CSP using Low Cost Electroless Redistribution Layer

Wafer Level CSP using Low Cost Electroless Redistribution Layer Wafer Level CSP using Low Cost Electroless Redistribution Layer Thorsten Teutsch, Thomas Oppert, Elke Zakel, Eckart Klusmann +, Heinrich Meyer +, Ralf Schulz +, Jörg Schulze + Pac Tech Packaging Technologies

More information

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Semiconductor IC Packaging Technology Challenges: The Next Five Years SPAY025 May 2006 White Paper Mario A. Bolanos, Director Semiconductor Group Packaging Technology Development, Texas Instruments In the era of communications and entertainment, growth of consumer electronics

More information

A Wafer Level CSP based on a Low Cost Electroless Redistribution Layer

A Wafer Level CSP based on a Low Cost Electroless Redistribution Layer A Wafer Level CSP based on a Low Cost Electroless Redistribution Layer Thomas Oppert, Thorsten Teutsch, Elke Zakel, Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15-17 D-14641 Nauen, Germany Phone:

More information

Adaption to scientific and technical progress under Directive 2002/95/EC

Adaption to scientific and technical progress under Directive 2002/95/EC . Adaption to scientific and technical progress under Directive 2002/95/EC Results previous evaluation Exemption No. 15 Lead in solders to complete a viable electrical connection between semiconductor

More information

Next Gen Packaging & Integration Panel

Next Gen Packaging & Integration Panel Next Gen Packaging & Integration Panel ECTC 2012 Daniel Tracy, Sr. Director Industry Research & Statistics SEMI May 29, 2012 Packaging Supply Chain Market Trends Material Needs and Opportunities Market

More information

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Materials Transactions, Vol. 43, No. 2 (22) pp. 3234 to 3238 c 22 The Japan Institute of Metals Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Chong-Hee Yu, Kyung-Seob Kim 2, Yong-Bin

More information

Adaption to scientific and technical progress under Directive 2002/95/EC

Adaption to scientific and technical progress under Directive 2002/95/EC . Adaption to scientific and technical progress under Directive 2002/95/EC Results previous evaluation Exemption No. 7 a a) Lead in high melting temperature type solders (i.e. lead-based alloys containing

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Low Cost Flip Chip Bumping

Low Cost Flip Chip Bumping Low Cost Flip Bumping Thomas Oppert, Thorsten Teutsch, Elke Zakel Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15 17 D-14641 Nauen, Germany Phone: +49 (0)3321/4495 0 Fax: +49 (0)3321/4495 23

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Future Electronic Devices Technology in Cosmic Space and Lead-free Solder Joint Reliability

Future Electronic Devices Technology in Cosmic Space and Lead-free Solder Joint Reliability The 22nd Microelectronics Work Future Electronic Devices Technology in Cosmic Space and Lead-free Solder Joint Reliability Key Points (1) High Speed Solder Ball Shear Test (2) Relationship between Surface

More information

Technology Requirements for Chip-On-Chip Packaging Solutions

Technology Requirements for Chip-On-Chip Packaging Solutions Technology Requirements for Chip-On-Chip Packaging Solutions M. Töpper, Th. Fritzsch, V. Glaw, R. Jordan, Ch. Lopper, J. Röder, L. Dietrich, M. Lutz, H. Oppermann, O. Ehrmann, Herbert Reichl Fraunhofer

More information

Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate

Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate JAXA 25 rd Microelectronics Workshop Future Electronic Devices Technology in Cosmic Space and Electroless Ni/Pd/Au Plating for High Density Semiconductor Package Substrate November 2, 2012 Yoshinori Ejiri

More information

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Chaoqi Zhang, Hyung Suk Yang, and Muhannad S. Bakir School of Electrical and Computer Engineering Georgia Institute

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate

Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate , July 6-8, 2011, London, U.K. Interfacial Reactions between the Sn-9Zn Solder and Au/Ni/SUS304 Multi-layer Substrate *Yee-Wen Yen 1, Chien-Chung Jao 2, Kuo-Sing Chao 1, Shu-Mei Fu Abstract Sn-9Zn lead-free

More information

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN WAFER LEVEL SYSTEM INTEGRATION ELECTRONIC PACKAGING AT FRAUNHOFER IZM The Fraunhofer Institute

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

IMC Layers Formed with Various Combinations of Solders and Surface Finishes and Their Effect on Solder Joint Reliability

IMC Layers Formed with Various Combinations of Solders and Surface Finishes and Their Effect on Solder Joint Reliability IMC Layers Formed with Various Combinations of Solders and Surface Finishes and Their Effect on Solder Joint Reliability Per-Erik Tegehall, Swerea IVF 4 th Electronic Materials and Assembly Processes for

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN WAFER LEVEL SYSTEM INTEGRATION ELECTRONIC PACKAGING AT FRAUNHOFER IZM The Fraunhofer Institute

More information

Thermal cyclic test for Sn-4Ag-0.5Cu solders on high P Ni/Au and Ni/Pd/Au surface finishes

Thermal cyclic test for Sn-4Ag-0.5Cu solders on high P Ni/Au and Ni/Pd/Au surface finishes Journal of Mechanical Engineering and Sciences (JMES) ISSN (Print): 2289-4659; e-issn: 2231-8380; Volume 9, pp. 1572-1579, December 2015 Universiti Malaysia Pahang, Malaysia DOI: http://dx.doi.org/10.15282/jmes.9.2015.4.0152

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

RELIABILITY IMPACT OF COPPER-DOPED EUTECTIC TIN-LEAD BUMP AND ITS VOIDING UPON FLIP CHIP ASSEMBLIES

RELIABILITY IMPACT OF COPPER-DOPED EUTECTIC TIN-LEAD BUMP AND ITS VOIDING UPON FLIP CHIP ASSEMBLIES RELIABILITY IMPACT OF COPPER-DOPED EUTECTIC TIN-LEAD BUMP AND ITS VOIDING UPON FLIP CHIP ASSEMBLIES David Ihms and Shing Yeh Delphi Electronics & Safety Kokomo, IN, USA david.w.ihms@delphi.com and shing.yeh@delphi.com

More information

Lead Free Soldering Technology

Lead Free Soldering Technology Lead Free Soldering Technology Chung-Ang University Young-Eui Shin Trend of Package Small, Light, High performance High speed, Large capacity High integrity, High density Comparison of package size 45mm

More information

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging Sādhanā Vol. 33, Part 3, June 2008, pp. 251 259. Printed in India A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging D C LIN 1,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored Lead-free Solder Balls

Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored Lead-free Solder Balls Materials Transactions, Vol. 5, No. 3 () pp. 75 to 75 Special Issue on Lead-Free Soldering in Electronics # The Japan Institute of Metals Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored

More information

Diffusion controlled growth of phases in metal tin systems related to microelectronics packaging. Guides: Prof. Aloke Paul and Prof.

Diffusion controlled growth of phases in metal tin systems related to microelectronics packaging. Guides: Prof. Aloke Paul and Prof. Diffusion controlled growth of phases in metal tin systems related to microelectronics packaging Ph.D. thesis Varun A Baheti, Department of Materials Engineering, Indian Institute of Science Guides: Prof.

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads

Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 8 15 OCTOBER 2004 Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads T. L. Shao, Y. H. Chen, S. H.

More information

Oki M A-60J 16Mbit DRAM (EDO)

Oki M A-60J 16Mbit DRAM (EDO) Construction Analysis Oki M5117805A-60J 16Mbit DRAM (EDO) Report Number: SCA 9707-545 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION ASSEMBLY AND PACKAGING THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test

Australian Journal of Basic and Applied Sciences. Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test AENSI Journals Australian Journal of Basic and Applied Sciences ISSN:1991-8178 Journal home page: www.ajbasweb.com Pb-Free Solder Ball Robustness Comparison under AC and TC Reliability Test 1,2 Tan Cai

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Flexible Substrates for Smart Sensor Applications

Flexible Substrates for Smart Sensor Applications Flexible Substrates for Smart Sensor Applications A novel approach that delivers miniaturized, hermetic, biostable and highly reliable smart sensor modules. AUTHORS Dr. Eckardt Bihler, Dr. Marc Hauer,

More information

1.3.2 Nanotechnology Nanoporosity Deposition Methods Dissolution Methods

1.3.2 Nanotechnology Nanoporosity Deposition Methods Dissolution Methods Table of Contents 1. Metal Finishing 1 1.1 Introduction 1 1.1.1 Description of Industrial Activity Covered 1 1.1.2 Environmental and Legislative Background 3 1.1.3 Emerging Technology or Research? 4 1.2

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

Fraunhofer IZM Berlin

Fraunhofer IZM Berlin Fraunhofer IZM Berlin Advanced Packaging for High Power LEDs Dr. Rafael Jordan SIIT Agenda Gluing Soldering Sintering Transient Liquid Phase Bonding/Soldering Thermo Compression Junction Temperature Measurements

More information

FLIP CHIP CHIP ON BOARD SMT ENGINEERING OPTO PACKAGING SUPPLY CHAIN MANAGEMENT TESTING YOUR INNOVATIVE TECHNOLOGY PARTNER PRODUCTION CONCEPT

FLIP CHIP CHIP ON BOARD SMT ENGINEERING OPTO PACKAGING SUPPLY CHAIN MANAGEMENT TESTING YOUR INNOVATIVE TECHNOLOGY PARTNER PRODUCTION CONCEPT YOUR INNOVATIVE TECHNOLOGY PARTNER CHIP ON BOARD OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP ENGINEERING TESTING PRODUCTION SMT SUPPLY CHAIN MANAGEMENT PROTOTYPES HIGH-PRECISION ASSEMBLY OF MICRO-

More information

Micro-Impact Test on Lead-Free BGA Balls on Au/Electrolytic Ni/Cu Bond Pad

Micro-Impact Test on Lead-Free BGA Balls on Au/Electrolytic Ni/Cu Bond Pad Micro- Test on Lead-Free BGA Balls on Au/Electrolytic Ni/Cu Bond Pad Shengquan Ou*, Yuhuan Xu and K. N. Tu Department of Materials Science and Engineering, UCLA, Los Angeles, CA, 90095-1595 M. O. Alam,

More information

Motorola MPA1016FN FPGA

Motorola MPA1016FN FPGA Construction Analysis Motorola MPA1016FN FPGA Report Number: SCA 9711-561 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781

More information

Electroplating. Copyright 2016 Industrial Metallurgists, LLC

Electroplating. Copyright 2016 Industrial Metallurgists, LLC Electroplating Northbrook, IL 847.528.3467 www.imetllc.com Copyright 2016 Industrial Metallurgists, LLC Course content 1. Process Steps (75 minutes) 2. Properties, Defects, and Evaluation (60 minutes)

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

3D Stacking of Chips with Electrical and Microfluidic I/O Interconnects

3D Stacking of Chips with Electrical and Microfluidic I/O Interconnects 3D Stacking of Chips with Electrical and Microfluidic I/O Interconnects Calvin R. King, Jr., Deepak Sekar, Muhannad S. Bakir, Bing Dang #, Joel Pikarsky, and James D. Meindl Georgia Institute of Technology,

More information

Copper Wire Bonding Technology and Challenges

Copper Wire Bonding Technology and Challenges Copper Wire Bonding Technology and Challenges By Dr Roger Joseph Stierman Date: 21 & 22 October 2013 Venue: SHRDC, Shah Alam, Selangor *2 days training package RM 3,000 per pax [*] * includes hotel accommodation

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond

Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond B. Majeed, P. Soussan, P. Le Boterf 1, P. Bouillon 1 Imec Kapeldreef 75, Leuven 3001, Belgium 1 Sofradir, 364, route de valence, 38113

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Evaluation of Pb-free BGA Solder Joint Reliability on Ni-based Surface Finishes using Alternative Shear and Pull Metrologies

Evaluation of Pb-free BGA Solder Joint Reliability on Ni-based Surface Finishes using Alternative Shear and Pull Metrologies Evaluation of Pb-free BGA Solder Joint Reliability on Ni-based Surface Finishes using Alternative Shear and Pull Metrologies Kuldip Johal and Hugh Roberts Atotech USA Inc., Rock Hill, SC Sven Lamprecht,

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Author(s) Citation Effect of Ni P thickness on solid-state interfacial reactions between Sn 3.5Ag solder

More information

Bare Die Assembly on Silicon Interposer at Room Temperature

Bare Die Assembly on Silicon Interposer at Room Temperature Minapad 2014, May 21 22th, Grenoble; France Bare Die Assembly on Silicon Interposer at Room Temperature W. Ben Naceur, F. Marion, F. Berger, A. Gueugnot, D. Henry CEA LETI, MINATEC 17, rue des Martyrs

More information

Comparative Study of NiNiP Leadframes from Different Processes

Comparative Study of NiNiP Leadframes from Different Processes Comparative Study of NiNiP Leadframes from Different Processes Wu-Hu Li *1, Jeffrey Khai Huat Low 1, Harry Sax 2, Raymond Solis Cabral 1, Esperidion De Castro Salazar 1, Pauline Min Wee Low 1 1 Infineon

More information

Assembly Reliability of TSOP/DFN PoP Stack Package

Assembly Reliability of TSOP/DFN PoP Stack Package As originally published in the IPC APEX EXPO Proceedings. Assembly Reliability of TSOP/DFN PoP Stack Package Reza Ghaffarian, Ph.D. Jet Propulsion Laboratory, California Institute of Technology Pasadena,

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Palladium as diffusion barrier - a way to a multifunctional printed circuit board finish

Palladium as diffusion barrier - a way to a multifunctional printed circuit board finish Palladium as diffusion barrier - a way to a multifunctional printed circuit board finish Dr. Norbert Sitte, Schwaebisch Gmuend, Umicore Galvanotechnik GmbH 1. Introduction Due to the continuing miniaturization

More information

FLIP-CHIP TECHNOLOGIES AND GLOBAL MARKETS

FLIP-CHIP TECHNOLOGIES AND GLOBAL MARKETS FLIP-CHIP TECHNOLOGIES AND GLOBAL MARKETS SMC089B July 2016 Sinha G. Project Analyst ISBN: 1-62296-329-6 BCC Research 49 Walnut Park, Building 2 Wellesley, MA 02481 USA 866-285-7215 (toll-free within the

More information