Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Size: px
Start display at page:

Download "Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond"

Transcription

1 Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS Junction Technology Group Semicon West Meeting July 14 th, 2016 Applied Materials External Use

2 Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 2

3 Spacer Contact Contact resistivity (e -9 Ω cm 2 ) Low Contact Resistance Requirement for Transistor Continuous Scaling Intel 14nm, IEDM Parasitic resistance requirement for 10/7/5 nm nodes (Ω-um) 8 R plug Metal Gate 6 33 R interface R access silicide S (epi) D (epi) 4 Fin 2 +10% R Plug R Interface R access 0 N5 N Equivalent Contact CD (nm) 3

4 Impact of Scaling on External Resistance Fin pitch scaling reduces contact area increases Rc Tall fin height results in increase of S/D resistance (RSD) External resistance is limiting transistor performance Require Innovative doping and Annealing solutions for NFET & PFET to reduce Rc and RSD 4

5 Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 5

6 Damage Engineering with Cryogenic Implants Physical Mechanism F. Khaja et al., APL 2012 Cryo Implants Reduced EOR & Defects, Improved Activation, Yielded Better Silicidation, Less Variability, High Drive Current 6

7 Damage Engineering with Thermal Implants Physical Mechanism RT Thermal interstitials (I) and vacancies (V) form amorphous pockets (AP) when they are within a capture distance Amorphous pockets (Is-Vs clusters) evolve to form Amorphous layer I-V fast recombination (jump frequency when T ) M. Togo et al., IMEC, VLSI 2013 M. Togo et al., IMEC, VLSI 2013 Hot implant reduces Amorphous Pocket Growth Hot Implant enhances dynamic annealing Thermal implant reduces Damage Improve Device performance >15% Thermal Implant Reduces Crystal Damage, Reduces Device Leakage 7

8 Plasma Doping: Shallow & Conformal Implant Fin top Fin bottom As concentration (at/cm -3) 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 1E+16 Conformality Corrected 1.5D SIMS with area Si Depth (nm) 150 nm Applied Materials internal development Plasma doping enables high doping concentration on surface and is a promising enabler for conformal contact 8

9 Implant Effect to Rc on HDSiP >50% C.N.Ni et al., VLSI 2016 Plasma implant is a promising enabler for conformal contact 9

10 Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 10

11 Metal Work-function (ev) Energy Band(eV) Energy (ev) Ge-rich contact interface for PMOS ρc reduction Band Gap Modulation by Ge in SiGe Lower Rc (SBH, DSS) High Surface Doping Narrow Bandgap(Ge) Pinning close to valence Fermi-level band pinning R c C C q exp B N if Area Metal M/Si M/Ge Conduction Band 100% 70% 45% Ge% in SiGe Yang et al., Semi. Sci. Tech. 19, 1174 (2004) Ge% reduces PMOS contact SBH PMOS ρc reduction 11

12 Contact Resistivity vs. Ge% Ge% PMOS Rc AMAT Ti/SiGe contact test vehicle Ge Contact metal: TiN/Ti TiN/Ti TiSi x Ge y SiGe SiGe70% SiGe45% Contact Resistivity (Ωcm 2 ) in Log C.N.Ni et al., VLSI-TSA 2016 P contact ρc reduces with increase in Ge at contact. ρ c = 3e-9 Ωcm 2 with Ge:B PSD 12

13 SIMS Ge concentration (%) Ge-rich Surface Formation by Nanosecond Laser Anneal Ge segregation at surface Ge depletion at bulk Applied Materials internal development SPER SiGe SiGe Si Si I/I I/I, nsec low energy I/I, nsec high energy Distance from surface (nm) C.N.Ni et al., VLSI-TSA 2016 Ge is segregated towards SiGe surface with Implant + NLA 13

14 Ge% Laser energy Ge Segregation by Nanosecond Laser Anneal SiO 2 W TiSi x Ge y Al Laser Anneal vs. ρc I/I + nsec anneal SiGe45% 65% 45% -40 ~20nm Distance (nm) SiGe45% Ref Contact resistivity (Ωcm 2 ) in Log C.N.Ni et al., VLSI-TSA 2016 Localized Ge segregation by nsec laser anneal 35% of ρc improvement

15 Si 0.3 Ge 0.7 :B Ultralow Contact Resistivity By Nanosecond Laser Anneal Test Structure Results Process Flow H.Yu et al., VLSI 2016 H.Yu et al., VLSI 2016 Optimization of Implant and nanosecond laser anneal resulted in ρc: ~ Ω cm 2 15

16 Super-activation with Post Implant Nanosecond Anneal Fluence Contact open implant 8e-10 Ωcm 2 0.7e -9 HDSiP + BL I/I + nsec Applied Materials internal development 15nm HDSiP W HDSiP + Plasma I/I 1e-9 Ωcm 2 HDSiP + BL I/I HDSiP ref. 2.5e -9 1e -9 1e -8 1e -7 Contact resistivity (Ωcm 2 ) Applied Materials internal development 15nm W Ti\TiN HDSiP C.N.Ni et al., VLSI 2016 Post implant nsec laser anneal brings NMOS to 1x10-9 Ωcm 2 16

17 Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 17

18 Summary Implant and Anneal optimization is required for achieving low ρ C Implant defect recovery is the key for low contact resistance Plasma implant offers shallow/dopant-rich implanted surface and improved conformality PMOS ρc: Ge-rich contact interface benefits to PMOS Rc, with 3e-9 Ωcm 2 achieved with pure Ge (B@1e20 at/cm 3 ) nsec laser anneal after amorphization implant effectively segregates Ge towards SiGe surface NMOS ρc: Pathways for further ρ C reduction to < 1x10-9 Ωcm 2 and below is adding/optimizing post implant nsec laser anneal 18

19

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Atomic Level Material and Device Analysis for FinFET and Nanowire Design

Atomic Level Material and Device Analysis for FinFET and Nanowire Design Atomic Level Material and Device Analysis for FinFET and Nanowire Design Victor Moroz, Søren Smidstrup, Munkang Choi, and Alexei Svizhenko July 13, Junction Technologies User Group Meeting 2018 Synopsys,

More information

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P.

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Gaurav Thareja Nishi Group, Electrical Engineering Stanford University ERC Tele-seminar

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project Feature-level Compensation & Control Workshop April 15, 2004 A UC Discovery Project 2 Diffusion in Silicon Germanium Alloys UC-DISCOVERY/ Workshop April 15, 2004 Hughes Silvestri, 1,2 Hartmut Bracht, 3

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

SCREEN Thermal Products

SCREEN Thermal Products SCREEN Thermal Products July 16, 2015 NCCAVS Junction Technology Group Semicon West San Francisco, CA 1 SE-75-1628-L1 Agenda Thermal Products Overview Laser Anneal Presentation Formation of Ge n+/p junction

More information

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET Conformal Doping for FinFETs by Self-Regulatory Plasma Doping Yuichiro Sasaki Katsumi Okashita Bunji Mizuno FETField Effect Transistor SRPD : Self-Regulatory Plasma Doping PD : Plasma Doping 11 %FETFET

More information

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping YS Kim & Hyuckjun Kown CTD, Lam Research 2017 Lam Research Corp. EAG 2017 1 Overview

More information

Processing and Defect Control in Advanced Ge Technologies

Processing and Defect Control in Advanced Ge Technologies Processing and Defect Control in Advanced Ge Technologies C. Claeys* IMEC, Kapeldreef 75, 3001 Leuven, Belgium *Also E.E. Dept, KU Leuven, Kasteelpark Arenberg 10, 3001 Leuven, Belgium Outline Introduction/Motivation

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Defect Engineering in Advanced Devices on High-Mobility Substrates

Defect Engineering in Advanced Devices on High-Mobility Substrates Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium Outline Introduction Defect Studies Why important Challenges

More information

John Borland. JOB Technologies, Kuawa St, Aiea, Hawaii 96701

John Borland. JOB Technologies, Kuawa St, Aiea, Hawaii 96701 Smartphones: Driving Technology to More than Moore 3-D Stacked Devices/Chips and More Moore FinFET 3-D Doping with High Mobility Channel Materials from 20/22nm Production to 5/7nm Exploratory Research

More information

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process 222 nd ECS Meeting A Proposal of Schottky arrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process Y. Tamura 1, R. Yoshihara 1, K. Kakushima 2, P. Ahmet 1, Y. Kataoka 2,

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

Strain for CMOS performance Improvement

Strain for CMOS performance Improvement IBM Corporation Strain for CMOS performance Improvement +Victor Chan, +Ken Rim, #Meikei Ieong, +Sam Yang, +Rajeev Malik, Young Way Teh, #Min Yang, #Qiqing (Christine) Ouyang +IBM Systems & Technology Group,

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure

Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure 222nd ECS meeting 11 Oct. 2012 Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure K. Tsuneishi, J. Chen, K. Kakushima, P. Ahmet, Y. Kataoka, A. Nishiyama, N. Sugii, K. Tsutsui,

More information

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 1 NCCAVS Junction Technology Group SEMICON West 2015 Meeting July 16, 2015 Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 DEVICE PERFORMANCE

More information

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Tomoji Nakamura, Yoriko Mizushima, Young-suk Kim, Akira Uedono, and Takayuki Ohba Fujitsu Laboratories Ltd., University of Tsukuba Tokyo

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth.

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth. Liquid Phase Epitaxy (LPE) Formation of Localized High Quality and Mobility Ge & SiGe by High Dose Ge-Implantation with Laser Melt Annealing for 10nm and 7nm Node CMOS Technology John Borland 1,2, Michiro

More information

Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243

Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243 Reliability Challenges for 45nm and Beyond J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243 DAC 2006 1 2 Outline Transistor Performance with Scaling Gate Dielectric Scaling

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Jr data for 3 x 1013 cm - 2

Jr data for 3 x 1013 cm - 2 Jr 3 Progress on two publications is described. Problem Areas A great deal of time is being required to get RBS and XTEM samples prepared and measurements made on busy equipment. Therefore results are

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES ELECTRON ENERGY BAND STRUCTURES Atomic states split to form energy bands Adapted from Fig. 18.2, Callister & Rethwisch 9e. 2 BAND STRUCTURE REPRESENTATION

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

ECSE-6300 IC Fabrication Laboratory Lecture 2 Thermal Oxidation. Introduction: Oxide

ECSE-6300 IC Fabrication Laboratory Lecture 2 Thermal Oxidation. Introduction: Oxide ECSE-6300 IC Fabrication Laboratory Lecture 2 Thermal Oxidation Prof. Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518) 276-2909 e-mails: luj@rpi.edu 2-1 Introduction: Oxide

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE CMOS TECHNOLOGY- Chapter 2 in the Text 1 EE 212 FALL 1999-00 CMOS TECHOLOGY- Chapter 2 in the Text In this set of notes we will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation

Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation ECS 224 th MEETING. 2013 o Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation J. Song 1, K. Matsumoto 1, K. Kakushima 2, Y. Kataoka 2, A. Nishiyama 2, N.Sugii

More information

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes tivation Workfunction Tuning for ngle-metal Dual-Gate With and i Electrodes poly- Gate Gate depletion effect -Effective oxide thickness increase Metal Gate o gate depletion effect K.Sano, M.Hino, and K.Shibahara

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps

Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps Lauren Peckler 1, Stacy Heslop 2, and Anthony Muscat 1 1 Department of Chemical & Environmental Engineering, University of Arizona 2 Department

More information

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design Bradley A. Minch Mixed Analog-Digital VLSI Circuits and Systems Lab Cornell University Ithaca, NY 14853 5401 minch@ece.cornell.edu

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) David Grant University of Waterloo ECE 639 Dr. Andrei Sazonov What s the current problem in AM- LCD and large-area area imaging? a-si:h has

More information

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance 6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance techniques have been developed to strain the Si in the MOSFET channel, in order to enhance carrier mobility and current drive some of

More information

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

EE THERMAL OXIDATION - Chapter 6. Basic Concepts EE 22 FALL 999-00 THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. SiO 2 : Easily selectively etched using

More information

New conductors what are the options? Marleen van der Veen

New conductors what are the options? Marleen van der Veen New conductors what are the options? Marleen van der Veen Content Trends and roadmaps Dual damascene: multi-patterning and EUV Alternative conductors and examples of implementation Summary Trend 1: scaling

More information

Substrate Effects on Transport and Dispersion in Delta- Doped β-ga 2 O 3 Field Effect Transistors

Substrate Effects on Transport and Dispersion in Delta- Doped β-ga 2 O 3 Field Effect Transistors 1 EMC 2018 joishi.1@osu.edu rajan.21@osu.edu Substrate Effects on Transport and Dispersion in Delta- Doped β-ga 2 O 3 Field Effect Transistors Chandan Joishi, Zhanbo Xia, Joe McGlone, Yuewei Zhang, Aaron

More information

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing Pulsed Nucleation Layer of Tungsten Nitride arrier Film and its Application in DRAM and Logic Manufacturing Kaihan Ashtiani, Josh Collins, Juwen Gao, Xinye Liu, Karl Levy Novellus Systems, Inc. 4 N. First

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown Feb. 19 th, 2015 WIMNACT-45 Resistive switching of /SiO 2 stacked film based on anodic oxidation and breakdown K. Kakushima Tokyo Institute of Technology 1 Introduction to resistive RAM (RRAM) Reset OFF

More information

Hafnium -based gate dielectrics for high performance logic CMOS applications

Hafnium -based gate dielectrics for high performance logic CMOS applications Hafnium -based gate dielectrics for high performance logic CMOS applications T. Kelwing*, M. Trentzsch, A. Naumann, B. Bayha, B. Trui, L. Herrmann, F. Graetsch, R. Carter, R. Stephan, P. Kuecher & W. Hansch

More information

SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA

SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA NATIONAL UNIVERSITY OF SINGAPORE 2010 SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting Vol 14 No 3, March 2005 cfl 2005 Chin. Phys. Soc. 1009-1963/2005/14(03)/0565-06 Chinese Physics and IOP Publishing Ltd Effect of the technology of implanting nitrogen into buried oxide on the radiation

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

Ultra Low Resistance Ohmic Contacts to InGaAs/InP Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C. Gossard ECE and Materials Departments University of California,

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Materials Perspective on Interconnects

Materials Perspective on Interconnects Materials Perspective on Interconnects David R. Clarke Materials Department, College of Engineering University of California, Santa Barbara Interconnects are Communication Networks Latency vs Line Length

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Why does pyrite have a low photovoltage?

Why does pyrite have a low photovoltage? Why does pyrite have a low photovoltage? August 25, 2011 Hypothesis I: metallic phase impurities Pyrite always contains metallic FeS-type phase impurities, which somehow reduce the photovoltage Evidence

More information

The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique

The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique Eman Mousa Alhajji North Carolina State University Department of Materials Science and

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

Flash Annealing Technology for USJ: Modeling and Metrology

Flash Annealing Technology for USJ: Modeling and Metrology Flash Annealing Technology for USJ: Modeling and Metrology Jeff Gelpey, Steve McCoy, David Camm, Mattson Technology Canada, Vancouver, B.C. Canada Wilfried Lerch, Silke Paul, Mattson Thermal Products GmbH,

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Semiconductor Very Basics

Semiconductor Very Basics Semiconductor Very Basics Material (mostly) from Semiconductor Devices, Physics & Technology, S.M. Sze, John Wiley & Sons Semiconductor Detectors, H. Spieler (notes) July 3, 2003 Conductors, Semi-Conductors,

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Scanning Transmission Electron Microscopy of Thin Oxides

Scanning Transmission Electron Microscopy of Thin Oxides Scanning Transmission Electron Microscopy of Thin Oxides Susanne Stemmer Materials Department University of California Santa Barbara Collaborators: Z. Chen, Y. Yang, D. Klenov (UCSB) W. J. Zhu, T.P. Ma

More information

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers Mat. Res. Soc. Symp. Proc. Vol. 810 2004 Materials Research Society C3.5.1 oron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers M. S. Carroll 1 J. C. Sturm, Dept. of Electrical Engineering,

More information

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Vivek Subramanian a, Krishna Saraswat a, Howard Hovagimian b, and John Mehlhaff b a Electrical

More information

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT JAN GENOE PUBLIC Every neuron in a human brain is connected via its synapses to 10-15.000 other neurons. Those connections can be over time reconfigured

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information