SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

Size: px
Start display at page:

Download "SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2"

Transcription

1 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department of Materials Science and Engineering **Department of Electrical and Computer Engineering Urbana, IL dazhang@uiuc.edu mjk@uiuc.edu Work supported by SRC, NSF, and Applied Materials.

2 AGENDA Introduction Description of the Model Hybrid Plasma Equipment Model (HPEM) Surface Kinetics Module (SKM) Surface Reaction Mechanisms in C 2 F 6 etching of SiO 2 Surface Passivation Substrate Bias Profile Simulations for C 2 F 6 Etching of SiO 2 Monte Carlo Feature Profile Model (MCFPM) Ion to Neutral Flux Ratio Ion Energy Summary DA-ICOPS01

3 INTRODUCTION Fluorocarbon (C m F n ) plasmas are widely used for SiO 2 /Si etching due to their high rate and good selectivity. CF x radicals passivate the wafer surface and so influence etching behavior. The passivation thickness depends on wafer materials, plasma-wall interactions, and processing parameters. Our goals: Develop a surface reaction mechanism Model passivation dependent etching Investigate influences of process parameters (e.g., bias, chemistry). DA-ICOPS02

4 HYBRID PLASMA EQUIPMENT MODEL (HPEM) Modular simulator addressing low temperature, low pressure plasmas. EMM calculates electromagnetic fields and magneto-static fields. EETM computes electron impact source functions and transport coefficients. FKM derives the densities, momentum and temperature of plasma species. VPEM shell can be added to HPEM for process control. Electro- Magnetic Module (EMM) E(r,z) B(r,z) Electron Energy Transport Module (EETM) S(r,z), Te(r,z) µ(r,z) Fluidchemistry Kinetics Module (FKM) V(r,z) n(r,z) F(r,z) V(r,z) n(r,z) F(r,z) VPEM: Sensors, Controller, Actuators DA-ICOPS03

5 SURFACE KINETICS MODEL (SKM) The Surface Kinetics Model (SKM) is an integrated module of the HPEM. The SKM Uses reactant fluxes from the HPEM Applies a user defined reaction mechanism Updates surface sticking and product reflection coefficient for the HPEM Calculates surface coverages and process rates Reaction Mechanism Φ i HPEM (1-S i )Φ i SKM Process Rate f ij Φ ij The SKM uses a multi-layer surface site balance model at every mesh point along the plasma-surface boundary. DA-ICOPS04

6 C 2 F 6 PLASMA ETCHING OF SiO 2 The reaction mechanism for SiO 2 etching is based on: Growth of C x F y passivation layer (balance of deposition and consumption). Formation of complex at the interface between oxide and passivation layer resulting from chemisorption of CF x. Ion activated (through passivation layer) etching of complex. Rate of activation scales inversely with passivation layer thickness. Diffusion of etch precursor and etch product. Plasma C x F y Passivation Layer SiO 2 CF x CF x Film Growth Ι + CF 4 F Sputtering F SiF 4 CF 4 CO 2 CO F 2 Ι+ SiF 4 Film Energy CF x Diffusion Etching Transfer Ι +, F CF x F SiO 2 SiF x Etch SiO 2 SiF x CO 2 SiF x Etch DA-ICOPS05

7 SiO 2 ETCH REACTION MECHANISM Simulations of C 2 F 6 etching of SiO 2 in an ICP reactor were performed. Representative gas phase reactions: e + C 2 F 6 CF CF 3 + e + e Electron Density e + CF 3 CF e + e e + C 2 F 6 CF 3 + CF 3 + e e + CF 3 CF 2 + F + e e + CF 3 CF 2 + F + F- e + CF 4 CF 2 + F + F + e e + CF 4 CF 3 + F + e F + F + M F 2 + M CF 3 + CF 3 + M C 2 F 6 + M CF 2 + F 2 CF 3 + F CF 3 + F 2 CF 4 + F CF 2 + CF 3 C 2 F 5 Height (cm) rf bias Coils Showerhead (C 2 F 6 ) Wafer Wall Radius (cm) 1.90E E9 (cm -3 ) C 2 F 5 + F CF 3 + CF 3 C 2 F 6, 10 mtorr, 200 sccm, 650 W ICP, 100 V bias DA-ICOPS06

8 RADICAL DENSITIES Less uniform CF 2 density distribution due to its higher loss rate at the reactor wall. CF 2 Density F Density 4.39E E14 Height (cm) 10 5 Height (cm) Radius (cm) 7.31E E12 0 (cm -3 ) (cm -3 ) Radius (cm) C 2 F 6, 10 mtorr, 200 sccm, 650 W ICP, 100 V bias. DA-ICOPS07

9 RADIAL DISTRIBUTIONS Radial flux distribution of CF 2 is less uniform than that of F as a consequence of the of the density distributions. Polymer distribution follows the CF 2 flux distribution for this case. Etch rate increases in the radial direction, inverse to the behavior of polymer distribution. F, CF 2 Fluxes (cm -2 s -1 ) CF3 + (10 16 ) CF 2 (10 16 ) F (10 17 ) Radius (cm) CF 3 + Fluxes (cm -2 s -1 ) Etch Rate (A/min) POLYMER ETCH RATE Radius (cm) Polymer Layers C 2 F 6, 10 mtorr, 200 sccm, 650 W ICP, 100 V bias. DA-ICOPS08

10 SUBSTRATE BIAS At low biases, the passivation layer thickness decreases with increasing bias due to increasing ion sputtering of the polymer, and the etch rate increases. At high biases, the passivation is starved and the etch rate goes to saturation. More passivating neutral flux (CF x, x 2) is required to increase the etch rate Passivation Layers 2.5 Etch Rate : Γ n /Γ ion = : Γ n /Γ ion = Passivation Etch Rate (nm/min) Substrate Bias (V) DA-ICOPS09

11 MONTE CARLO FEATURE PROFILE MODEL (MCFPM) Profiles of SiO 2 in C 2 F 6 /Ar plasma etching were investigated with the MCFPM. The MCFPM model predicts the time and spatially dependent microscale processes which produce etch profiles. CF 2 CF 3 + Ar + PR The Plasma Chemistry Monte Carlo Model (PCMCS) uses HPEM results to produce reactive fluxes (energy and angle) to the surface. SiF 4 CO 2 SiO 2 The MCFPM uses these fluxes to implement a user defined reaction mechanism. Si Γ(θ, E) HPEM PCMCS MCFPM DA-ICOPS10

12 TAPERING OF PROFILES In high aspect ratio (AR) etching of SiO 2 by fluorocarbon plasmas, the sidewall of trenches are passivated by neutrals (CF x, x 2) due to the broad angular distributions of neutral fluxes. Tapered trench profiles are produced when the passivation/ion flux ratio is large. Experiment 0.25 um Simulation 0.25 um SiO 2 SiO 2 Ar/C 2 F 6 = 20/ W ICP power, 150 V bias mtorr. Radial location: 3 cm. DA-ICOPS11 AR = 10:1 (C. Cui, AMAT) AR = 10:1

13 PASSIVATION/ION FLUX RATIO Increasing passivating neutral to ion flux ratio (Γ n /Γ ion ) leads to more tapered profiles due to increasing sidewall passivation. When the passivating neutral flux is too small, insufficient sidewall protection by the passivation layer leads to a bowed profile. Bowed Straight Tapered Tapered PR SiO 2 Normalized Γ n /Γ ion DA-ICOPS12 100% 125% 150% 175%

14 INFLUENCE OF ION ENERGY With increasing ion energy, the increasing ion sputtering yield of the sidewall passivation layer produces a less tapered profile. The etch rate also increases with increasing ion energy due to decreasing (but sufficient) passivation. Simulations and experiments obtained similar trends. Experiments Simulations SiO 2 SiO 2 DA-ICOPS W 1000 W 150 V 180 V Bias Power Bias Voltage (C. Cui, AMAT)

15 INFLUENCE OF ION ENERGY (cont.) When the ion energy is very high, the SiO 2 etching is limited by the passivation instead of the ion sputtering yield. The etch rate and the bottom width of the trench are saturated at high ion energies. Wb / Wt Depth W b /W t Depth (µm) W b : Trench width 0.5 µm above the bottom. W t : Trench width at the top. Depth: Trench depth after equal etch times. W t W b Depth Substrate Bias (V) DA-ICOPS14

16 SUMMARY The polymeric passivation at the surface in fluorocarbon plasma etching of SiO 2 strongly influences the process kinetics and feature profiles. With increasing substrate bias, the passivation thickness on the wafer decreases due to increasing ion sputtering, resulting in increasing etch rates and less tapered profiles. At high ion energies, sufficient passivation is required for the wafer etching. Processes with high passivating neutral to ion flux ratios produce tapered profiles. Both the bottom critical dimension and the etch rate decrease with increasing ratio of passivating neutral to ion fluxes. DA-ICOPS15

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES*

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES* SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES* Xudong Peter Xu and Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 6181 November 1998 *Work supported

More information

OverVizGlow. Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation

OverVizGlow.   Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation OverVizGlow Spark EM Flow Grain Multiphysics Simulation Suite VizGlow CCP Simulation VizGlow ICP Simulation www.esgeetech.com 2 OverViz VizGlow VizSpark Parallelized, scalable framework for creating 1D/2D/3D,

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/term

More information

Silicon DRIE vs. Germanium DRIE A Comparison in the Plasmatherm VLN

Silicon DRIE vs. Germanium DRIE A Comparison in the Plasmatherm VLN The Cornell NanoScale Science & Technology Facility Silicon DRIE vs. Germanium DRIE A Comparison in the Plasmatherm VLN Vince Genova CNF Research Staff NNCI Etch Workshop Cornell University 5/24/2016 CNF

More information

HBr Etching of Silicon

HBr Etching of Silicon NNCI ETCH WORKSHOP Cornell University May 25, 2016 HBr Etching of Silicon Vince Genova CNF Research Staff CNF TCN, page 1 Characteristics of HBr based etching of Silicon HBr plasmas tend to be somewhat

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 22 DRY-ETCHING for ULSI APPLICATIONS 2004 by LATTICE PRESS CHAPTER 22 - CONTENTS Types of Dry-Etching Processes The Physics & Chemistry of Plasma-Etching Etching

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Si DRIE APPLICATION In Corial 210IL

Si DRIE APPLICATION In Corial 210IL Si DRIE APPLICATION In Corial 210IL CORIAL 210IL ICP-RIE equipment for deep Si etching applications Enlarged functionality with capability to deep etch silicon, silicon carbide, glass, sapphire, and quartz

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Intlvac Nanochrome I Sputter System (intlvac_sputter)

Intlvac Nanochrome I Sputter System (intlvac_sputter) 1. Intlvac_Sputter Specifications The Intlvac Nanochrome I sputter system is configured for DC, AC (40 khz), and RF (13.56 MHz) magnetron sputtering. They system has in-situ quartz lamp heating up to 200C,

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers

EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers Note: If you are asked to plot something on this homework or any future homework, make an accurate plot using Excel, Matlab, etc., with

More information

Device Fabrication: CVD and Dielectric Thin Film

Device Fabrication: CVD and Dielectric Thin Film Device Fabrication: CVD and Dielectric Thin Film 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Parameters Study to Improve Sidewall Roughness in Advanced Silicon Etch Process Hsiang-Chi Liu *, Yu-Hsin Lin **, Bruce C. S. Chou **, Yung-Yu Hsu **, Wensyang Hsu * * Department of Mechanical Engineering,

More information

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production David Butler, VP Product Management & Marketing SPTS Technologies Contents Industry Trends TSV

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2017 SUPPLEMENTARY INFORMATION A Functional Micro-Solid Oxide Fuel Cell with

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

SiC high voltage device development

SiC high voltage device development SiC high voltage device development 2006. 11. 30 KERI Power Semiconductor Group outline 1. Device design & simulation for power devices 2. SiC power diode process development Ion implantation & activation

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Deep SiO 2 etching with Al and AlN masks for MEMS devices

Deep SiO 2 etching with Al and AlN masks for MEMS devices TECHNICAL NOTE Deep SiO 2 etching with Al and AlN masks for MEMS devices Vladimir Bliznetsov 1, Hua Mao Lin 1, Yue Jia Zhang 1 and David Johnson 2 1 Institute of Microelectronics, A STAR (Agency for Science,

More information

Maximizing the Potential of Rotatable Magnetron Sputter Sources for Web Coating Applications

Maximizing the Potential of Rotatable Magnetron Sputter Sources for Web Coating Applications Maximizing the Potential of Rotatable Magnetron Sputter Sources for Web Coating Applications V.Bellido-Gonzalez, Dermot Monaghan, Robert Brown, Alex Azzopardi, Gencoa, Liverpool UK Structure of presentation

More information

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source

More information

Midterm evaluations. Nov. 9, J/3.155J 1

Midterm evaluations. Nov. 9, J/3.155J 1 Midterm evaluations What learning activities were found most helpful Example problems, case studies (5); graphs (good for extracting useful info) (4); Good interaction (2); Good lecture notes, slides (2);

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Oerlikon PVD production solutions for piezoelectric materials

Oerlikon PVD production solutions for piezoelectric materials Oerlikon PVD production solutions for piezoelectric materials Workshop PiezoMEMS Aachen,18. /19.05.2010 M. Kratzer Oerlikon Systems R&D Oerlikon company and products Thin films used for SAW, BAW, MEMS,

More information

SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching

SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching Naoya Okamoto, Toshihiro Ohki, Satoshi Masuda, Masahito Kanamura, Yusuke Inoue, Kozo Makiyama, Kenji Imanishi, Hisao Shigematsu,

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

SILICON carbide (SiC) is one of the attractive wide band

SILICON carbide (SiC) is one of the attractive wide band 1362 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 3, JUNE 2004 Magnetically Enhanced Inductively Coupled Plasma Etching of 6H-SiC D. W. Kim, H. Y. Lee, S. J. Kyoung, H. S. Kim, Y. J. Sung, S. H. Chae,

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM Integrated Ferroelectrics, 84: 169 177, 2006 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580601085750 High Density Plasma Etching of IrRu Thin Films

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

SIMULATION OF THE DEPOSITION OF BCC METALS INCLUDING ANISTROPIC EFFECTS USING 3D-Films

SIMULATION OF THE DEPOSITION OF BCC METALS INCLUDING ANISTROPIC EFFECTS USING 3D-Films SIMULATION OF THE DEPOSITION OF BCC METALS INCLUDING ANISTROPIC EFFECTS USING 3D-Films T. Smy and R.V. Joshi 1 Dept. of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6, ph: 613-520-3967, fax:

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Improving AlN & ScAlN Thin Film Technology for Next Generation PiezoMEMS SEMICON Taiwan MEMS FORUM

Improving AlN & ScAlN Thin Film Technology for Next Generation PiezoMEMS SEMICON Taiwan MEMS FORUM Improving AlN & ScAlN Thin Film Technology for Next Generation PiezoMEMS SEMICON Taiwan 2016 - MEMS FORUM Nick Knight PVD Product Manager, SPTS Technologies SPTS Technologies A leading manufacturer of

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

Oerlikon PVD production solution for in-situ large scale deposition of PZT

Oerlikon PVD production solution for in-situ large scale deposition of PZT Oerlikon PVD production solution for in-situ large scale deposition of PZT 2nd International Workshop on Piezoelectric MEMS Materials - Processes - Tools - Devices Lausanne, 06./07.09.2011 M. Kratzer,

More information

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING Keith Buchanan, Dave Thomas, Hefin Griffiths, Kathrine Crook, Daniel Archard, Mark Carruthers, Steve Burgess, Stephen Vargo SPTS

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/2/6/e1600148/dc1 Supplementary Materials for Oil droplet self-transportation on oleophobic surfaces Juan Li, Qi Hang Qin, Ali Shah, Robin H. A. Ras, Xuelin Tian,

More information

Modelling of plasma heating of the substrate

Modelling of plasma heating of the substrate Modelling of plasma heating of the substrate Kandasamy Ramachandran Centre for Research in Material Sciences & Thermal Management Karunya University, Coimbatore 641 114 Plasma spraying Quality of the coatings

More information

Gencoa Product Portfolio

Gencoa Product Portfolio Gencoa offer the following range of products & process technology for the thin film industry developed over the last 20 years Planar Magnetrons Plasma Pre- Treaters Reactive Gas Controllers Gencoa Product

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets

Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets Frank Papa*, Dermot Monaghan**, Victor Bellido- González**, and Alex Azzopardi** *Gencoa Technical & Business Support in US,

More information

Self organization and properties of Black Silicon

Self organization and properties of Black Silicon TECHNISCHE UNIVERSITÄT ILMENAU 51st IWK Internationales Wissenschaftliches Kolloquium September 11-15, 2006 Self organization and properties of Black Silicon M. Fischer, M. Stubenrauch, Th. Kups, H. Romanus,

More information

THE last decades have seen an ever-increasing use of

THE last decades have seen an ever-increasing use of JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, VOL. 11, NO. 4, AUGUST 2002 385 Guidelines for Etching Silicon MEMS Structures Using Fluorine High-Density Plasmas at Cryogenic Temperatures Meint J. de Boer,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Corial D500 No mechanical cleaning

Corial D500 No mechanical cleaning Corial D500 No mechanical cleaning Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

L5: Micromachining processes 1/7 01/22/02

L5: Micromachining processes 1/7 01/22/02 97.577 L5: Micromachining processes 1/7 01/22/02 5: Micromachining technology Top-down approaches to building large (relative to an atom or even a transistor) structures. 5.1 Bulk Micromachining A bulk

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE Abstract ANA NEILDE R. DA SILVA, NILTON MORIMOTO, OLIVIER BONNAUD* neilde@lsi.usp.br - morimoto@lsi.usp.br

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS OHSEUNG KWON. at the Massachusetts Institute of Technology June 1999

POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS OHSEUNG KWON. at the Massachusetts Institute of Technology June 1999 POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS by OHSEUNG KWON B.S. MATERIALS SCIENCE AND ENGINEERING KOREA INSTITUTE OF SCIENECE AND TECHNOLOGY, 1991 M.S. MATERIALS SCIENCE

More information

Gas and surface applications of atmospheric pressure plasmas

Gas and surface applications of atmospheric pressure plasmas Gas and surface applications of atmospheric pressure plasmas Eugen Stamate Technical University of Denmark Roskilde 4000, Denmark OUTLINE Introduction of DTU Energy Conversion and Storage Activities in

More information

Oxidation threshold in silicon etching at cryogenic temperatures

Oxidation threshold in silicon etching at cryogenic temperatures Oxidation threshold in silicon etching at cryogenic temperatures Thomas Tillocher, Philippe Lefaucheux, Xavier Mellhaoui, Remi Dussart, Pierre Ranson, Mohamed Boufnichel, Lawrence Overzet To cite this

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

External Control of Electron Energy Distributions in a Dual Tandem Inductively Coupled Plasma

External Control of Electron Energy Distributions in a Dual Tandem Inductively Coupled Plasma External Control of Electron Energy Distributions in a Dual Tandem Inductively Coupled Plasma Lei Liu (a), Shyam Sridhar (a), Weiye Zhu (a,c), Vincent M. Donnelly (a), Demetre J. Economou (a), Michael

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

CORIAL D500. Large capacity batch system for 24/7 production environment

CORIAL D500. Large capacity batch system for 24/7 production environment CORIAL D500 Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film deposition from 120 C

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them Creates passivating

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

AIMCAL R2R Conference

AIMCAL R2R Conference Roll-to-Roll Integrated Deposition, Lithography, and Etching on Flexible Corning Willow Glass for Electronics Device Fabrication Robert Malay, Christian Bezama, Mark Poliks, Ming-Huang Huang, Sean Garner,

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength A High Speed Surface Illuminated Si Photodiode Using Microstructured Holes for Absorption Enhancements at 900 1000 nm wavelength Supporting Information Yang Gao, Hilal Cansizoglu, Soroush Ghandiparsi,

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL Atomic Layer Deposition process solutions using FlexAL and OpAL Introduction to Self limiting digital growth Atomic Layer Deposition () offers precisely controlled ultra-thin films for advanced applications

More information

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING Pamela P. Ward Dept. 1812, Sandia National Laboratories Albuquerque, New Mexico Abstract Plasmas have frequently

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester,

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Research Article RF Magnetron Sputtering Aluminum Oxide Film for Surface Passivation on Crystalline Silicon Wafers

Research Article RF Magnetron Sputtering Aluminum Oxide Film for Surface Passivation on Crystalline Silicon Wafers Photoenergy Volume 13, Article ID 792357, 5 pages http://dx.doi.org/1.1155/13/792357 Research Article RF Magnetron Sputtering Aluminum Oxide Film for Surface Passivation on Crystalline Silicon Wafers Siming

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information