Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Size: px
Start display at page:

Download "Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge"

Transcription

1 Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau b, S. Barnola b a Univ. Grenoble Alpes, CNRS, LTM, CEA-Leti b CEA/LETI

2 Hybrid photonic integrated circuit (PIC) Photonic integration is the key to reduce size, increase functionality and reduce cost of photonic devices Hybrid silicon integration combines: - Silicon and derived components to elaborate waveguides, filter or photodetector - III-V materials for light emitter Martijn J. R. Heck, SPIE Newsroom 2013 III-V Laser source III-V integrated by molecular wafer bonding DBR InP Gain region 1. Fiber coupling SOI wafer Si waveguide 2. Edge coupling 2

3 Plasma etching of III-V materials Process requirements: 1. High etch rates 2. Anisotropic sidewalls 3. Selectivity III-V vs SiN hard mask and SiO 2 box 4. Minimized surface and sidewalls roughness 5. Compatible with CMOS technology (contamination) Inspection of boiling points for chemistry suitability: III-V Chloride Fluoride Hydride Ga Ga 2 Cl 6, 201 C GaF 3, 950 C [GaH 3 ] 2, 0 C In InCl 3, 583 C InF 3, >1200 C InH, N/A P PCl 3, 76 C PF 3, -102 C PH 3, -88 C Ar/ Cl 2 /CH C As AsCl 3, 130 C AsF 3, 63 C AsH 3, -63 C F unreactive with group III Cl chemistry suitable for GaAsP system Low volatility of InCl x compounds Reactive etchant Passivative species 3

4 III-V plasma patterning results Ar/ C in ICP (AP from AMAT) Etch rates acceptable: 472nm/min III/V SiN selectivity: 14 Anisotropy : 86 Surface and sidewalls roughness OK Courtesy of P. Brianceau, CEA/LETI 4

5 Reactor wall contamination during plasma etching Reactor walls Al 2 O C Plasma Etched byproducts Mask InP Etched surface Mask InP Wall Deposited layer Passivation C Etched by-products redeposition Formation of coatings on Al 2 O 3 chamber walls changes the plasma chemistry Causes process drift, wafer to wafer irreproducibility 5

6 Today s strategy for process reproducibility Changes in reactor walls conditions = process drifts Today's strategy to get a reproducible process: the reactor between wafers Etching Reactor plasma clean clean Al 2 O 3 chamber Deposit clean Al 2 O 3 chamber Next wafer Aim of this study Characterize the deposits formed on the reactor wall Propose plasma cleaning strategies 6

7 Analyses of chamber walls coatings Floating sample technique : An electrically floating Al 2 O 3 sample is used to simulate the reactor wall conditions RF coil (ICP reactor) Al 2 O 3 chamber walls@80 C Ion energy > 100 ev : etching Ion energy ~ 15 ev : deposition InP wafer Air gap Al 2 O 3 floating sample Reactor wall surface SiO 2 wafer@170 C RF biasing O. Joubert, J. Vac. Sci. Technol. A 22, Quasi in-situ XPS analysis Chemical nature and thickness of the chamber wall coatings N.B. Use of temperature stick to monitor floating sample temperature 7

8 Experimental set-up Substrates: 2 InP wafer on a 200mm diameter SiO2 carrier wafer Floating sample 2 InP wafer SiO 2 wafer Etching and characterization experiments: 200 mm plasma etching plateform from AMAT XPS: quasi in situ characterization of the coating deposit and its plasma cleaning Load locks XPS DPS DPS + ICP with 50 C Reactor wall cleaning experiment ICP with hot 170 C InP etching Transfer chamber MERIE 8

9 Characterization of the deposit on the reactor wall FIB-SEM Morphological analyses of the deposit Top view Cross section Pt Deposit thickness ranging from 50 to 100nm Inhomogeneous rough surface Deposition Al 2 O 3 Si Substrate 100nm XPS Chemical composition of the deposit formed on the Al2O3 sample No phosporine detected Deposition of a mixed SiOCl-CCl layer with encapsulated InClx residues (8%) Atomic concentration (%) O 44% F 5% C 16% Al 35% Si 10% O 13% In 8% F 5% Cl 32% C 31% Si 6% O 17% In 6% F 3% Cl 15% C 52% No significant change after air exposure 0 Al 2 O 3 sample After InP etching (in-situ) After InP etching (ex-situ) 9

10 Chamber walls cleaning strategies Al 2 O 3 sample coated with the deposit are patched on a carrier wafer and exposed to cleaning plasma in DPS RF coil (ICP reactor) Al 2 O 3 chamber walls@80 C Al 2 O 3 sample Quasi in-situ XPS analysis SiO 2 carrier wafer RF biasing Sample patched on the carrier wafer with Fomblin: - sample temperature regulated by ESC temperature T between C Sample elevated with Kapton rolls: - no regulation of temperature - temperature controlled with stickers T >100 C 10

11 Chamber walls cleaning strategies Preliminary tests with typical plasma cleaning strategy-sample temperature >140 C Gas: 100sccm Pressure: 5-10mT Source: 800W Bias: 0W Atomic concentration (%) O 17% Si 11% In 9% After InP etching F 61% In 18% C Al 13% SF 6 /O 2 1 min O 6% Si 4% Cl 28% Cl 62% C 31% In 23% Cl 2 10 min Al 27% SF 6 /O 2 : removal of SiOCCl matrix but fluorination of Al and In Si F 13% O 38% Si 12% C 11% HBr 10 min 11

12 Chamber walls cleaning strategies Preliminary tests with typical plasma cleaning strategy-sample temperature >140 C Gas: 100sccm Pressure: 5-10mT Source: 800W Bias: 0W Atomic concentration (%) O 17% Si 11% In 9% After InP etching F 61% In 18% C Al 13% SF 6 /O 2 1 min O 6% Si 4% Cl 28% Cl 62% C 31% In 23% Cl 2 10 min SF 6 /O 2 : removal of SiOCCl matrix but fluorination of Al and In Cl 2 : carbon is removed, Si slightly removed but In remains Si F 13% O 38% Si 12% C 11% Al 27% HBr 10 min 12

13 Chamber walls cleaning strategies Preliminary tests with typical plasma cleaning strategy-sample temperature >140 C Gas: 100sccm Pressure: 5-10mT Source: 800W Bias: 0W Atomic concentration (%) After InP etching SF 6 /O 2 1 min Cl 2 10 min SF 6 /O 2 : removal of SiOCCl matrix but fluorination of Al and In Cl 2 : carbon is removed, Si slightly removed but In remains HBr: In is removed 0 O 17% Si 11% In 9% F 61% In 18% C Al 13% O 6% Si 4% Cl 28% Cl 62% C 31% In 23% Si F 13% O 38% Si 12% C 11% Al 27% HBr 10 min 13

14 HBr plasma cleaning process: impact of temperature Atomic concentration (%) O 17% Si 11% Cl 28% C 31% In 9% After InP etching F 10% O 35% Si 3% Cl 20% Br 10% C 26% In 12% Al 4% C 10min F 37% O 33% Si 6% In 5% Al 14% C 10min F 13% O 38% Si 12% C 11% Al 27% C 10min 90 C 140 C Patched sample Patched sample Surelevated sample At the reactor wall temperature of C, In residues still present 14

15 Dual step plasma cleaning process 100 Atomic concentration (%) O 17% Si 11% Cl 28% C 31% In 9% After InP etching C O 35% Si 17% Br 10% C 30% Al 5% C 5 min F 53% O 28% Si 2% Al 26% SF 6 /O C 1 min A dual step cleaning process is efficient to restore the reactor wall: HBr@140 C remove InClx compounds followed by clean SF6/O2@80 C to remove Si and C based compounds 15

16 Conclusion Patterning of InP/InGaAs/InGaASP heterostructures can be achieved in Ar/Cl 2 /CH 4 plasma with assistance of temperature During InP etching, a deposit composed of InClx residues encapsulated in a SiOCCl matrix is formed on the reactor wall. HBr plasma can be used to remove Indium residues from the reactor wall but for a complete efficiency, reactor wall should be heated above 100 C A dual step plasma cleaning process is recommended to restore the reactor wall after InP etching : HBr plasma@140 C followed by SF 6 /O 2 plasma 16

17 Acknowledgement to the NANOELEC program for supporting this work Questions? 17

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

HBr Etching of Silicon

HBr Etching of Silicon NNCI ETCH WORKSHOP Cornell University May 25, 2016 HBr Etching of Silicon Vince Genova CNF Research Staff CNF TCN, page 1 Characteristics of HBr based etching of Silicon HBr plasmas tend to be somewhat

More information

Sidewall passivation assisted by a silicon coverplate during Cl 2 H 2 and HBr inductively coupled plasma etching of InP for photonic devices

Sidewall passivation assisted by a silicon coverplate during Cl 2 H 2 and HBr inductively coupled plasma etching of InP for photonic devices Sidewall passivation assisted by a silicon coverplate during Cl 2 H 2 and HBr inductively coupled plasma etching of InP for photonic devices S. Bouchoule, a G. Patriarche, S. Guilet, L. Gatilova, b and

More information

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM Integrated Ferroelectrics, 84: 169 177, 2006 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580601085750 High Density Plasma Etching of IrRu Thin Films

More information

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform B. Szelag 1, K. Hassan 1, L. Adelmini 1, E. Ghegin 1,2, Ph. Rodriguez 1, S. Bensalem 1, F. Nemouchi 1,

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching

SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching Naoya Okamoto, Toshihiro Ohki, Satoshi Masuda, Masahito Kanamura, Yusuke Inoue, Kozo Makiyama, Kenji Imanishi, Hisao Shigematsu,

More information

Deep SiO 2 etching with Al and AlN masks for MEMS devices

Deep SiO 2 etching with Al and AlN masks for MEMS devices TECHNICAL NOTE Deep SiO 2 etching with Al and AlN masks for MEMS devices Vladimir Bliznetsov 1, Hua Mao Lin 1, Yue Jia Zhang 1 and David Johnson 2 1 Institute of Microelectronics, A STAR (Agency for Science,

More information

Si DRIE APPLICATION In Corial 210IL

Si DRIE APPLICATION In Corial 210IL Si DRIE APPLICATION In Corial 210IL CORIAL 210IL ICP-RIE equipment for deep Si etching applications Enlarged functionality with capability to deep etch silicon, silicon carbide, glass, sapphire, and quartz

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

Silicon DRIE vs. Germanium DRIE A Comparison in the Plasmatherm VLN

Silicon DRIE vs. Germanium DRIE A Comparison in the Plasmatherm VLN The Cornell NanoScale Science & Technology Facility Silicon DRIE vs. Germanium DRIE A Comparison in the Plasmatherm VLN Vince Genova CNF Research Staff NNCI Etch Workshop Cornell University 5/24/2016 CNF

More information

CORIAL D500. Large capacity batch system for 24/7 production environment

CORIAL D500. Large capacity batch system for 24/7 production environment CORIAL D500 Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film deposition from 120 C

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Corial D500 No mechanical cleaning

Corial D500 No mechanical cleaning Corial D500 No mechanical cleaning Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 22 DRY-ETCHING for ULSI APPLICATIONS 2004 by LATTICE PRESS CHAPTER 22 - CONTENTS Types of Dry-Etching Processes The Physics & Chemistry of Plasma-Etching Etching

More information

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength A High Speed Surface Illuminated Si Photodiode Using Microstructured Holes for Absorption Enhancements at 900 1000 nm wavelength Supporting Information Yang Gao, Hilal Cansizoglu, Soroush Ghandiparsi,

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Parameters Study to Improve Sidewall Roughness in Advanced Silicon Etch Process Hsiang-Chi Liu *, Yu-Hsin Lin **, Bruce C. S. Chou **, Yung-Yu Hsu **, Wensyang Hsu * * Department of Mechanical Engineering,

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Processing of III-Nitride (I) (Courtesy of Grace Xing at Notre Dame)

Processing of III-Nitride (I) (Courtesy of Grace Xing at Notre Dame) Processing of III-Nitride (I) (Courtesy of Grace Xing at Notre Dame) Outline: 1. Introduction 2. Dry etching Slide # 1 Introduction Hynix semiconductor Slide # 2 Introduction Hynix semiconductor Slide

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

Oxidation behavior of Cu nanoclusters in hybrid thin films

Oxidation behavior of Cu nanoclusters in hybrid thin films Oxidation behavior of Cu nanoclusters in hybrid thin films Harm Wulff,* Steffen Drache*, Vitezslav Stranak**, Angela Kruth*** *EMAU Greifswald, **South Bohemian University, Budweis, *** INP Greifswald

More information

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Introduction Xu Sun Laboratory of Photonics and Microwave Engineering, Royal Institute of Technology (KTH),

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

Indium Phosphide Planar Integrated Optics Comes of Age. For planar integrated optics, the future has finally arrived

Indium Phosphide Planar Integrated Optics Comes of Age. For planar integrated optics, the future has finally arrived Indium Phosphide Planar Integrated Optics Comes of Age Jens Noeckel Tom Pierson Jane Zucker Nanovation Technologies For planar integrated optics, the future has finally arrived Integrated optics had its

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel

More information

Micro/Nano Technology Center University of Louisville. Dry Etch Capabilities. NNCI Etch Workshop May 24-25, 2016

Micro/Nano Technology Center University of Louisville. Dry Etch Capabilities. NNCI Etch Workshop May 24-25, 2016 Micro/Nano Technology Center University of Louisville Dry Etch Capabilities NNCI Etch Workshop May 24-25, 2016 TRION METAL ETCHER MODEL: MINILOCK-PHANTOM III ICP/RIE Trion etcher uses 7 gasses for chemistry:

More information

Self organization and properties of Black Silicon

Self organization and properties of Black Silicon TECHNISCHE UNIVERSITÄT ILMENAU 51st IWK Internationales Wissenschaftliches Kolloquium September 11-15, 2006 Self organization and properties of Black Silicon M. Fischer, M. Stubenrauch, Th. Kups, H. Romanus,

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM EOSS ENHANCED OPTICAL SPUTTERING SYSTEM Fraunhofer IST, Braunschweig Contact: Dr. M. Vergöhl +49 531 2155 640 EOSS Coating System

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

Paradee Leerungnawarat. Department of Materials Science and Engineering

Paradee Leerungnawarat. Department of Materials Science and Engineering Paradee Leerugawarat Departmet of Materials Sciece ad Egieerig Itroductio What is SiC? Why SiC? SiC has may advatages over Si. It is widely used for high power, high temperature electroic devices. Why

More information

SILICON carbide (SiC) is one of the attractive wide band

SILICON carbide (SiC) is one of the attractive wide band 1362 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 3, JUNE 2004 Magnetically Enhanced Inductively Coupled Plasma Etching of 6H-SiC D. W. Kim, H. Y. Lee, S. J. Kyoung, H. S. Kim, Y. J. Sung, S. H. Chae,

More information

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES Joseph W. Wisemari Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A silicon trench

More information

First Electrically Pumped Hybrid Silicon Laser

First Electrically Pumped Hybrid Silicon Laser First Electrically Pumped Hybrid Silicon Laser UCSB Engineering Insights Oct 18 th 2006 Mario Paniccia Intel Corporation 1 Sept 18 th 2006 What We are Announcing Research Breakthrough: 1st Electrically

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/term

More information

Cornell NanoScale Facility Dry Etch Capabilities

Cornell NanoScale Facility Dry Etch Capabilities NNCI Etch Workshop Cornell University May 24, 2016 Cornell NanoScale Facility Dry Etch Capabilities Vince Genova CNF Research Staff CNF TCN, page 1 Dry Etch Systems High Density Plasma (ICP): PlasmaTherm

More information

Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness

Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness Running title: Low Damage Cl2/Ar Deep Vertical GaN Etch Running Authors: Tahhan et al. Maher Tahhan

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Intlvac Nanochrome I Sputter System (intlvac_sputter)

Intlvac Nanochrome I Sputter System (intlvac_sputter) 1. Intlvac_Sputter Specifications The Intlvac Nanochrome I sputter system is configured for DC, AC (40 khz), and RF (13.56 MHz) magnetron sputtering. They system has in-situ quartz lamp heating up to 200C,

More information

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON Maxim Fadel and Edgar Voges University of Dortmund, High Frequency Institute, Friedrich-Woehler Weg 4, 44227 Dortmund, Germany ABSTRACT

More information

OverVizGlow. Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation

OverVizGlow.   Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation OverVizGlow Spark EM Flow Grain Multiphysics Simulation Suite VizGlow CCP Simulation VizGlow ICP Simulation www.esgeetech.com 2 OverViz VizGlow VizSpark Parallelized, scalable framework for creating 1D/2D/3D,

More information

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication 1 Motivation 2 Experimental setup 3 ICP textures as alternative technique 3.1 Surface morphology

More information

Etching Gold using Oxford Ion Mill Tool

Etching Gold using Oxford Ion Mill Tool Etching Gold using Oxford Ion Mill Tool Object: To get the etch rate and selectivity (Al2O3 as an etch mask), as well as etch profile, of Au by using Oxford Ion Mill tool. Experimental: 1) Wafer Clean:

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING Pamela P. Ward Dept. 1812, Sandia National Laboratories Albuquerque, New Mexico Abstract Plasmas have frequently

More information

Synthesis of diamond-like carbon films with super-low friction and wear properties

Synthesis of diamond-like carbon films with super-low friction and wear properties Synthesis of diamond-like carbon films with super-low friction and wear properties MSE 676 All Things Carbon / 09-29-2009 A. Erdemir, O.L. Eryilmaz, and G. Fenske J. Vac. Sci. Technol. A 18(4), Jul/Aug

More information

USOO A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000

USOO A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000 USOO6107172A United States Patent (19) 11 Patent Number: 6,107,172 Yang et al. (45) Date of Patent: Aug. 22, 2000 54 CONTROLLED LINEWIDTH REDUCTION DURING GATE PATTERN FORMATION 5,854,132 12/1998 Pramanicket

More information

PlasmaPro TM System100 & System133. Modular tools for wafer processing100

PlasmaPro TM System100 & System133. Modular tools for wafer processing100 TM System100 & System133 Modular tools for wafer processing100 System100 & System133 Plasma etch & deposition process modules Oxford Instruments System100 and System133 process modules are built on 200mm

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

AC Reactive Sputtering with Inverted Cylindrical Magnetrons AC Reactive Sputtering with Inverted Cylindrical Magnetrons D.A. Glocker, Isoflux Incorporated, Rush, NY; and V.W. Lindberg and A.R. Woodard, Rochester Institute of Technology, Rochester, NY Key Words:

More information

Silicon-on-insulator (SOI) was developed in the

Silicon-on-insulator (SOI) was developed in the 66 Silicon-on-insulator substrates for compound semiconductor applications Mike Cooke reports on research developments reaching towards high-power electronics and infrared optical communications. Silicon-on-insulator

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Amorphous silicon waveguides for microphotonics

Amorphous silicon waveguides for microphotonics 4 Amorphous silicon waveguides for microphotonics Amorphous silicon a-si was made by ion irradiation of crystalline silicon with 1 10 15 Xe ions cm 2 at 77 K in the 1 4 MeV energy range. Thermal relaxation

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Tackling the optical interconnection challenge for the Integrated Photonics Revolution

Tackling the optical interconnection challenge for the Integrated Photonics Revolution Tackling the optical interconnection challenge for the Integrated Photonics Revolution Dr. Ir. TU Delft, Precision and Microsystems Engineering m.tichem@tudelft.nl Microfabrication and MEMS Si microfabrication

More information

Process Stability in Photo Mask Manufacturing

Process Stability in Photo Mask Manufacturing Process Stability in Photo Mask Manufacturing Authors: Martin Bäßler 1, Haiko Rolff 1, A. Lajn 1, Michael Klick 2, Ralf Rothe 2 Affiliation: 1) Advanced Mask Technology Center GmbH & Co. KG 2) Plasmetrex

More information

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Junbo Feng School of Optoelectronics Science and Engineering, Wuhan National Laboratory for Optoelectronics,

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Die-to-Die Adhesive Bonding for Evanescently-Coupled Photonic Devices. Pietersnieuwstraat 41, 9000 Gent, Belgium

Die-to-Die Adhesive Bonding for Evanescently-Coupled Photonic Devices. Pietersnieuwstraat 41, 9000 Gent, Belgium 411 10.1149/1.3483531 The Electrochemical Society Die-to-Die Adhesive Bonding for Evanescently-Coupled Photonic Devices S. Stanković a, D. Van Thourhout a, G. Roelkens a, R. Jones b, J. Heck b, and M.

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

Formation of plasma induced surface damage in silica glass etching for optical waveguides

Formation of plasma induced surface damage in silica glass etching for optical waveguides JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 12 15 JUNE 2004 Formation of plasma induced surface damage in silica glass etching for optical waveguides D. Y. Choi, a) J. H. Lee, D. S. Kim, and S. T. Jung

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Ching-Fuh Lin*, Shih-Che Hung, Shu-Chia Shiu, and Jiun-

Ching-Fuh Lin*, Shih-Che Hung, Shu-Chia Shiu, and Jiun- Fabrication of circular Si waveguides on bulk Si substrate by KrF Excimer Laser System for Optical Interconnect Ching-Fuh Lin*, Shih-Che Hung, Shu-Chia Shiu, and Jiun- Jie Chao Graduate Institute of Photonics

More information

is kept at a minimum with low power densities of less than 50 mw/cm 2.

is kept at a minimum with low power densities of less than 50 mw/cm 2. Production Performance Success with a High Throughput PECVD System David Lishan 1, Ken Mackenzie 1, Mike Fresina 2, Doug Wend 2, John Erickson 2, and Dave Johnson 1 1 Unaxis Wafer Processing, St. Petersburg,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES*

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES* SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES* Xudong Peter Xu and Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 6181 November 1998 *Work supported

More information

Tin removal from extreme ultraviolet collector optics by inductively coupled plasma reactive ion etching

Tin removal from extreme ultraviolet collector optics by inductively coupled plasma reactive ion etching Tin removal from extreme ultraviolet collector optics by inductively coupled plasma reactive ion etching H. Shin, a S. N. Srivastava, and D. N. Ruzic b Center for Plasma Material Interactions, University

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Kinetics of Silicon Oxidation in a Rapid Thermal Processor Kinetics of Silicon Oxidation in a Rapid Thermal Processor Asad M. Haider, Ph.D. Texas Instruments Dallas, Texas USA Presentation at the National Center of Physics International Spring Week 2010 Islamabad

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

GeTe films for PCRam elaborate by pulsed injection MOCVD and PEMOCVD for phase change memory

GeTe films for PCRam elaborate by pulsed injection MOCVD and PEMOCVD for phase change memory GeTe films for PCRam elaborate by pulsed injection MOCVD and PEMOCVD for phase change memory P. Michallon 1, E. Gourvest 2, C. Vallée 3, J. Vitiello 4, R. Blanc 3, D. Jourde 1, L. Dussault 3, P.Noé 1,

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Supplementary Figure 1 TEM of external salt byproducts. TEM image of some salt byproducts precipitated out separately from the Si network, with

Supplementary Figure 1 TEM of external salt byproducts. TEM image of some salt byproducts precipitated out separately from the Si network, with Supplementary Figure 1 TEM of external salt byproducts. TEM image of some salt byproducts precipitated out separately from the Si network, with non-uniform particle size distribution. The scale bar is

More information

POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS OHSEUNG KWON. at the Massachusetts Institute of Technology June 1999

POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS OHSEUNG KWON. at the Massachusetts Institute of Technology June 1999 POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS by OHSEUNG KWON B.S. MATERIALS SCIENCE AND ENGINEERING KOREA INSTITUTE OF SCIENECE AND TECHNOLOGY, 1991 M.S. MATERIALS SCIENCE

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information