Resolution, LER, and Sensitivity Limitations of Photoresist

Size: px
Start display at page:

Download "Resolution, LER, and Sensitivity Limitations of Photoresist"

Transcription

1 esolution, LE, and Sensitivity Limitations of Photoresist Gregg M. Gallatin 1, Patrick Naulleau,3, Dimitra Niakoula, obert Brainard 3, Elsayed Hassanein 3, ichard Matyi 4, Jim Thackeray 4, Kathleen Spear 4, Kim Dean 5 1., Newtown, CT. Lawrence Berkeley National Laboratory, Berkeley, CA 3. University of Albany, College of Nanoscale Science and Engineering, Albany, NY 4. ohm and Haas Microelectronics, Marlborough, MA 5. SEMATECH, Albany, NY Advanced Materials esearch Center, AMC, International SEMATECH Manufacturing Initiative, and ISMI are servicemarks of SEMATECH, Inc. SEMATECH, the SEMATECH logo, Advanced Technology Development Facility, ATDF, and the ATDF logo are registered servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners

2 This work is part of a SEMATECH-funded program designed to 1. Generate, analyze, and compare multiple resists to an LE model. Use the experimental results to verify, expand, and finetune the LE model 3. Use the verified model to determine approaches for breaking the esolution, LE, and Sensitivity (LS) tradeoff At the end of the talk, two ideas for breaking the LS tradeoff will be discussed: 1. Anisotropic acid diffusion Anisotropic deprotection blur NOT EUV Specific. Increased quantum yield ~ EUV Specific For a detailed discussion of these ideas see Gallatin, et. al., SPIE 007.

3 Agenda 1. Describe the LS tradeoff. Show how it relates to an LE model 3. Describe the experiments done so far 4. Present comparisons of the experimental results to the LE model 5. Discuss the (two) approaches for breaking the LS tradeoff See See Poster Poster E-P0: E-P0: obert obert Brainard, Brainard, et et al., al., for for a detailed detailed description description of of the theresists studied studied See See Poster Poster E-P04: E-P04: Patrick Patrick Naulleau, Naulleau, et et al., al., for for a a detailed detailed evaluation evaluation of of resist resist resolution resolution metrics metrics 3

4 The esolution, LE, Sensitivity (LS) Tradeoff esist esolution: PEB Diffusion or esist Blur. Smaller is better LE: Line Edge oughness... Smaller is better Sensitivity: Dose-to-Size. Smaller is better BUT data and modeling indicate the following constraint : 3 Blur LE Dose ~ Constant LS Tradeoff For a standard chemically amplified resist and process cannot have blur, LE and dose all small at the same time. You can t always get what you want Mick Jagger This type of behavior has been found by many researchers: Lammers, et al., SPIE 007, Bristol, et al., SPIE 007, Brainard, et al., SPIE 004, Gallatin SPIE 005,... 4

5 How Does the LE Model LS Tradeoff LE MODEL contains 3 fundamental processes Gallatin SPIE Exposure: Image intensity ~ Probability distribution for acid release. Acid release positions are statistical Sensitivity. PEB: Acids diffuse and deprotect the resist PEB diffusion range esolution (resist blur ) 3. Development: Spatial distribution of deprotection determines final resist profile Line edge statistics LE do the math σ LE C I I edge T α Q E size 3 I = Image intensity T = esist Thickness α = Absorptivity Q = Quantum Efficiency LE Constant Dose Blur = PEB Diffusion ange LS Tradeoff BONUS: Get the explicit analytic form for the frequency content of the LE Compare predicted content to experiment 5

6 EUV LE DATA Combined effort of LBL, CNSE, and ohm and Haas with SEMATECH funding Exposures were done on the 0.3 NA MET at Berkeley Three different resists with 4 or 5 different base loadings each esist Names: Features imaged: 50 nm and 60 nm 1-to-1 lines/spaces CD and LE data through dose and focus at each base loading LE and PSDs computed from average of left and right edge data at each focus, dose, and base loading condition LE computed from both filtered and unfiltered PSD data Best Dose is as indicated in the graphs and tables Best Focus is set to 0, by definition esist blur values,, are fit using the analytical PSD formula: esist blur is the only fitting parameter 6

7 Example esult: esist 5435, Base Loading G, 50 nm 1-1 lines/spaces Best Dose LE(unfiltered) Best Focus Dose\Focus Best Dose ( blur ) Best Focus Dose\Focus Average = 1. nm D = 4. nm rms Best Dose Best Dose 7

8 LE versus Dose Solid Lines = Filtered LE (High frequency noise removed from PSD before computing LE) esist 5435 LE 3σ nm nm 1-1 Dashed Lines = Unfiltered LE (aw PSD used to compute LE) Comments Difference between filtered and unfiltered LE values ~ 0.5 nm Saturation at high dose is clearly evident Most of the difference between 50 nm and 60 nm LE comes from the slight difference in image log slope( I / I ) edge esist 571 esist 5496 LE 3σ LE 3σ nm nm nm nm Dose-to- Size (mj/cm ) Dose-to- Size (mj/cm ) Dose-to- Size (mj/cm ) 8

9 LE versus Dose Add saturation to model Dots = LE Data Lines = Model fit to the data after incorporating saturation 1 1 E ( size E 1 e sat ) / E size Esat esist 5435 esist 571 LE 3σ LE 3σ nm nm nm 1-1 Dose-to- Size (mj/cm ) Comments 4 60 nm 1-1 esults aren t bad, but more work needs to be done. Specifically: esist 5496 LE 3σ nm 1-1 Dose-to- Size (mj/cm ) Match fitting parameter E sat to actual resist parameters, e.g., PAG loading, base loading, absorption, quantum efficiency, etc nm Dose-to- Size (mj/cm ) 9

10 esist Blur Value,, versus Dose esist 5435 Blur nm 1-1 Solid Lines = at best dose and focus Dashed Lines = Average over all dose and focus values Comments esist 571 Blur nm nm 1-1 Dose-to- Size (mj/cm ) 50 and 60 nm blur values approximately the same. Possibly some systematic variation with dose. 1σ variation in ~ 1 to 4 nm Difficult to distinguish systematic from random behavior. esist 5496 Blur nm nm nm Dose-to- Size (mj/cm ) Dose-to- Size (mj/cm ) 10

11 How well does the model PSD shape fit the data PSD shape? Next 5 slides show an example comparison of model PSD to data PSD esist 5435, 50 nm 1-to-1 lines/spaces Plots show model PSD and data PSD at all dose and focus and base loading (dose-to-size) values ed curves = Model PSD fit to one parameter, the value of Blue curves = Data PSD esults indicate that the PSD shape is remarkably stable through dose, focus, base loading, and resist type Will go quickly through the next 5 slides. Just look at the general comparison of the model and data PSDs 11

12 esist 5435 Dose-to-Size = 3.09mJ/cm =5 nm = nm =3 nm =5 nm =6 nm =18 nm =3 nm =18 nm =3 nm =17 nm =18 nm 1

13 esist 5435 Dose-to-Size = 4.73mJ/cm =3 nm =1 nm =18 nm =3 nm =1 nm =16 nm =14 nm =3 nm =6 nm =3 nm =0 nm =5 nm =6 nm = nm =0 nm =6 nm =0 nm = nm =0 nm =19 nm =16 nm =15 nm =15 nm 13

14 esist 5435 Dose-to-Size = 7.50mJ/cm =0 nm =16 nm =6 nm =1 nm =8 nm =8 nm =8 nm =1 nm =30 nm =8 nm =9 nm =1 nm =6 nm =1 nm =4 nm =6 nm =5 nm =1 nm =4 nm =18 nm =1 nm =8 nm =5 nm =8 nm =3 nm =17 nm =18 nm =8 nm =19 nm =18 nm =18 nm =7 nm =1 nm =6 nm =4 nm =30 nm 14

15 esist 5435 Dose-to-Size = 14.8mJ/cm =18 nm =16 nm =5 nm = nm =0 nm =17 nm =19 nm =0 nm =5 nm =18 nm =17 nm =0 nm =0 nm =18 nm =0 nm =1 nm = nm =1 nm =4 nm =1 nm =16 nm =17 nm =19 nm =5 nm = nm =17 nm =16 nm = nm =18 nm =30 nm =3 nm =5 nm =19 nm =19 nm =38 nm =0 nm =18 nm =9 nm =1 nm =1 nm =1 nm =18 nm =19 nm =4 nm =0 nm =3 nm 15

16 esist 5435 Dose-to-Size = 30.87mJ/cm =18 nm =19 nm =18 nm =16 nm =16 nm =1 nm =16 nm =17 nm =18 nm =1 nm =15 nm =14 nm =17 nm =1 nm =19 nm =17 nm = nm =0 nm =18 nm =3 nm =1 nm =16 nm =14 nm =17 nm =1 nm =18 nm =19 nm =9 nm =18 nm = nm =18 nm = nm =8 nm = nm =17 nm =1 nm =3 nm =16 nm =18 nm =38 nm =4 nm =3 nm =16 nm =31 nm = nm =18 nm 16

17 1. Anisotropic esist Blur Spherical Deprotection Blur: = = x y z z y Volume ~ x y z x Anisotropic Deprotection Blur: Shrink in x and y Expand in z x x s Volume ~ s x s y y s z s y = x y z z s z s = Scaling Factor s 1 do the math LE ~ 1/ s Horizontal Blur ~ 1/s Dose = Fixed Improved LE and resolution Fixed dose Anisotropic diffusion explicitly breaks the LS tradeoff. 17

18 . Quantum Yield = Q 48 nm and 193 nm photons release acids Energy required to release an acid is at most ~ 5 to 6 ev. EUV photon has 9 ev of energy Each EUV photon has enough energy to release at least 9 ev/5 ev~18 acids DATA: Q ~ 1/ 3 DUV Brainard, et al., SPIE 004 QEUV ~ acid bottleneck Neureuther, et al., JVST B in 3 absorptions results in acid release EUV is not close to using its energy efficiently BUT Not all acids can be released in the same position adds blur Assume acids are released along random walk path with steps spaced by ρ 1/3 Q released acids Extra exposure blur r ~ (Q 1) 1/ ρ 1/3 18

19 . Quantum Yield = Q Combine deprotection blur from each acid with the random walk distribution of released acids Net Blur adius Acid Photon Acid Net Blur adius ~ / 3 ( Q 1) + r = + ρ Acid Acid Added Blur = Deprotection blur radius = FWHM/ ~ 15nm Net Blur adius Q = Q = Q = 8 Q = 4 Q =16 Spatial distribution of Q released acids Clearly want high PAG density to avoid significantly increasing blur ρ (PAG s/nm 3 ) 19

20 . Quantum Yield = Q LE 1 α Q E 3 Increase Q and Decrease E with Q E = constant Explicit gain in sensitivity If net blur = net ~ no change in resolution Increased quantum yield can break the LS tradeoff. No change in LE NOTE: Some recent experiments show decreasing E and decreasing LE with increasing PAG loading Choi, et al., SPIE 007 Leunissen, et al., MNE

21 Conclusions and Future Tasks: LS tradeoff predicts that for a standard chemically amplified resist and process you cannot get high resolution, low LE and low sensitivity all at the same time. Both anisotropic dissolution and increased quantum yield are good candidates for breaking the LS tradeoff If you try sometimes you just might find you get what you need. Mick Jagger Future: 1. Continue verification, expansion, and finetuning the LS model based on experimental results.. Need to consider non-mean-field behavior. Smith, Biafore, obertson, SPIE Determine feasibility of implementation. This work is funded by SEMATECH 1

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

Mask Substrate/Blank Cleaning Progress Challenges

Mask Substrate/Blank Cleaning Progress Challenges Accelerating the next technology revolution Mask Substrate/Blank Cleaning Progress Challenges Arun JohnKadaksham and Frank Goodwin SEMATECH, Albany, NY 10/06/2013 Copyright 2012 SEMATECH, Inc. SEMATECH,

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists Modeling anomalous depth dependent dissolution effects in chemically amplified resists Mosong Cheng, Jacek Tyminski*, Ebo Croffie, Andrew Neureuther Electronic Research Laboratory Department of Electrical

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

UVIII POSITIVE DUV PHOTO RESISTS

UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESIST is optimized to provide wide process latitude for

More information

In-situ Metrology for Deep Ultraviolet Lithography Process Control

In-situ Metrology for Deep Ultraviolet Lithography Process Control In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of

More information

Resist Characterization for EUV- Lithography

Resist Characterization for EUV- Lithography Resist Characterization for EUV- Lithography 2. Int. EUVL-Symposium, Antwerp, B Wolf-Dieter Domke 1, Stefan Hirscher 2, liver Kirch 3, Karl Kragler 1, Klaus Lowack 1, 1 Infineon Technologies AG, 91050

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

Progress in EUV resist development

Progress in EUV resist development Progress in EUV resist development T. Shimokawa, T. Kai, D. Shimizu, K. Maruyama, A. Saitou, Y. Hishiro, Semiconductor Materials Laboratory, JSR Corporation. JSR Micro, INC. 2008 International Workshop

More information

Thermodynamic and Kinetic Stability of Nanobubbles at the Water-Solid Interface: A Modeling and AFM Study

Thermodynamic and Kinetic Stability of Nanobubbles at the Water-Solid Interface: A Modeling and AFM Study Thermodynamic and Kinetic Stability of Nanobubbles at the Water-Solid Interface: A Modeling and AFM Study B. Budhlall, X. He, I. Hyder, S. Mehta, G. Parris International Symposium on Immersion & 157nm

More information

EDA Assessment. Steve Fulton Charisse Nabors

EDA Assessment. Steve Fulton Charisse Nabors EDA Assessment Steve Fulton Charisse Nabors Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and ISMI are servicemarks of SEMATECH, Inc. SEMATECH, the SEMATECH

More information

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 Barcelona, Spain Tom Lucatorto 1 SEMATECH Coordinated Projects - 2006 Fundamental surface chemistry and physics: relevance

More information

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2 and C. Grant Willson

More information

EPSRC Centre for Doctoral Training in Industrially Focused Mathematical Modelling

EPSRC Centre for Doctoral Training in Industrially Focused Mathematical Modelling EPSRC Centre for Doctoral Training in Industrially Focused Mathematical Modelling Mathematical modelling of dissolution of alumina Attila Kovacs Contents 1. Introduction... 2 Background... 2 Glossary...

More information

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Poster FVS Workshop 2002 Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Texture etching of sputtered ZnO:Al films has opened up a variety of possibilities

More information

EUV Lithography Development in the United States

EUV Lithography Development in the United States EUV Lithography Development in the United States 4 th International Symposium on Extreme Ultraviolet Lithography (EUVL) November 7-9, 2005 San Diego, CA Stefan Wurm SEMATECH, the SEMATECH logo, AMRC, Advanced

More information

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System Atsushi Sekiguchi, Chris A. Mack*, Mariko Isono, Toshiharu Matsuzawa Litho Tech Japan Corp., 2-6-6, Namiki, Kawaguchi,

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

U.S. PV Manufacturing and Opportunities for Florida

U.S. PV Manufacturing and Opportunities for Florida U.S. PV Manufacturing and Opportunities for Florida Winston V. Schoenfeld Director, PVMC-FL Associate Professor Florida Solar Energy Center & CREOL University of Central Florida September 2011 Copyright

More information

Low energy electron bombardment induced surface contamination of Ru mirrors

Low energy electron bombardment induced surface contamination of Ru mirrors Low energy electron bombardment induced surface contamination of Ru mirrors A. Al-Ajlony a, A. Kanjilal a, M. Catalfano a,s. S. Harilal a, A. Hassanein a and B. Rice b a Center for Materials Under Extreme

More information

Next Generation Source Power Requirements. Erik R. Hosler

Next Generation Source Power Requirements. Erik R. Hosler Next Generation Source Power Requirements Erik R. Hosler What will we need at the 3 nm node and beyond? Can laser produced plasma sources continue the roadmap? Needs to future EUV manufacturing Lithography

More information

Non-charge Storage Resistive Memory: How it works

Non-charge Storage Resistive Memory: How it works Accelerating the next technology revolution Non-charge Storage Resistive Memory: How it works Gennadi Bersuker Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks

More information

Precision Measurement of Small Gap within Closed Components by Industrial Computed Tomography

Precision Measurement of Small Gap within Closed Components by Industrial Computed Tomography ECNDT 006 - Poster 4 Precision Measurement of Small Gap within Closed Components by Industrial Computed Tomography Lingxia SUN, Ju LI, Yunchang YE, Aidong ZHANG, China Academy of Engineering Physics, Mianyang,

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

DESIGN AND OPERATING PRINCIPLES OF III- V SOLAR CELLS

DESIGN AND OPERATING PRINCIPLES OF III- V SOLAR CELLS DESIGN AND OPERATING PRINCIPLES OF III- V SOLAR CELLS ANTHONY MELECO CAPSTONE ADVISER: DR. IAN SELLERS TABLE OF CONTENTS Abstract... 3 Introduction... 3 Procedure... 4 Photomask... 4 Modeling... 5 IV curve...

More information

Mirror contamination and secondary electron effects during EUV reflectivity analysis

Mirror contamination and secondary electron effects during EUV reflectivity analysis Mirror contamination and secondary electron effects during EUV reflectivity analysis M. Catalfano a, A. Kanjilal a, A. Al-Ajlony a, S. S. Harilal a, A. Hassanein a, and B. Rice b a Center for Materials

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

EUV patterning improvement toward high-volume manufacturing

EUV patterning improvement toward high-volume manufacturing P63 EUV patterning improvement toward high-volume manufacturing 2015 International Workshop on EUV Lithography Tokyo Electron Kyushu Ltd. / SPE process dept. Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami

More information

Computer Simulation of Nanoparticle Aggregate Fracture

Computer Simulation of Nanoparticle Aggregate Fracture Mater. Res. Soc. Symp. Proc. Vol. 1056 2008 Materials Research Society 1056-HH08-45 Computer Simulation of Nanoparticle Aggregate Fracture Takumi Hawa 1,2, Brian Henz 3, and Michael Zachariah 1,2 1 National

More information

Supporting Information

Supporting Information Supporting Information Dynamics and Removal Pathway of Edge Dislocations in Imperfectly Attached PbTe Nanocrystal Pairs; Towards Design Rules for Oriented Attachment Justin C. Ondry,, Matthew R. Hauwiller,,

More information

Size exclusion chromatography (SEC) with superficially porous (core-shell) particles

Size exclusion chromatography (SEC) with superficially porous (core-shell) particles Size exclusion chromatography (SEC) with superficially porous (core-shell) particles Mark R. Schure, Inc. Blue Bell, Pennsylvania Robert E. Moran, Stephanie A. Schuster, Brian M. Wagner, Conner W. McHale

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

Photoresist-induced development behavior in DBARCs

Photoresist-induced development behavior in DBARCs Photoresist-induced development behavior in DBARCs Jim D. Meador, Alice Guerrero, Joyce A. Lowes, Charlyn Stroud, Brandy Carr, Anwei Qin, Carlton Washburn, and Ramil-Marcelo L. Mercado Brewer Science,

More information

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda Department of rganic & Polymeric Materials, Graduate School of Science and Engineering, Tokyo Institute of Technology

More information

Quantum Dot Band Gap Investigations

Quantum Dot Band Gap Investigations Brigham Young University BYU ScholarsArchive All Student Publications 2016-11-15 Quantum Dot Band Gap Investigations John Ryan Peterson Brigham Young University - Provo, jryan388@gmail.com Follow this

More information

Improve Operator Benchmarking Using Graded Acreage

Improve Operator Benchmarking Using Graded Acreage Improve Operator Benchmarking Using Graded Acreage Learn how one operator optimized underperforming assets in the Bakken Copyright 2015, Drillinginfo, Inc. All rights reserved. All brand names and trademarks

More information

Traffic insights ATSPM Flashcards

Traffic insights ATSPM Flashcards Traffic insights ATSPM Flashcards Traffic Insights Optimize your traffic network. Measure the impact. Traffic Insights are a suite of signal performance analytics and tools that help improve traffic safety

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Lesson 1: Modeling Linear Relationships

Lesson 1: Modeling Linear Relationships : Modeling Linear Relationships Classwork Example 1: Logging On Lenore has just purchased a tablet computer, and she is considering purchasing an access plan so that she can connect to the Internet wirelessly

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

Lesson 3 How-To Session

Lesson 3 How-To Session Lesson 3 How-To Session Nicola Döbelin RMS Foundation, Bettlach, Switzerland March 3, 2015, Lyon, France Refinement Strategy: Words of Wisdom Always refining everything may lead to good fits, but the results

More information

Smoothing layers for EUV substrate defectivity mitigation

Smoothing layers for EUV substrate defectivity mitigation Accelerating the next technology revolution Smoothing layers for EUV substrate defectivity mitigation R. Teki, A. John, M. House, J. Harris- Jones, A. Ma, F. Goodwin February 12, 2012 Copyright 2009 SEMATECH,

More information

Supplementary Figure 1. Peak assignments for V L- and O K-edge X-ray absorption near edge structure (XANES) Spectra (a) Assignments of V LIII-edge

Supplementary Figure 1. Peak assignments for V L- and O K-edge X-ray absorption near edge structure (XANES) Spectra (a) Assignments of V LIII-edge Supplementary Figure 1. Peak assignments for V L- and O K-edge X-ray absorption near edge structure (XANES) Spectra (a) Assignments of V LIII-edge spectral features to specific transitions measured for

More information

LECTURE 8. Dr. Teresa D. Golden University of North Texas Department of Chemistry

LECTURE 8. Dr. Teresa D. Golden University of North Texas Department of Chemistry LECTURE 8 Dr. Teresa D. Golden University of North Texas Department of Chemistry Practical applications for lattice parameter measurements: -determine composition (stoichiometry) of the sample -determine

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Layer Thickness Analysis of Thin Metal Coatings with. Bruker Nano Analytics, Berlin, Germany Webinar, June 8 th 2017

Layer Thickness Analysis of Thin Metal Coatings with. Bruker Nano Analytics, Berlin, Germany Webinar, June 8 th 2017 Layer Thickness Analysis of Thin Metal Coatings with micro-xrf on SEM Bruker Nano Analytics, Berlin, Germany Webinar, June 8 th 2017 09.06.2017 Presenters Stephan Boehm Product Manager Micro-XRF/SEM Bruker

More information

Chapter-IV OPTICAL PROPERTIES

Chapter-IV OPTICAL PROPERTIES Chapter-IV OPTICAL PROPERTIES 4.1 Ultraviolet/ Visible Spectroscopy UV- visible spectroscopy of wavelength is shorter than visible light, but longer than X- rays. UV-Violet color is the shortest wavelength

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Christopher G. Morgan, David Varley, Ewa Kosmowska, and Ronald Vane XEI Scientific, Inc., Redwood City, CA,

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Crystal Growth, Optical and Thermal Studies of 4-Nitroaniline 4- Aminobenzoic Acid: A Fluorescent Material

Crystal Growth, Optical and Thermal Studies of 4-Nitroaniline 4- Aminobenzoic Acid: A Fluorescent Material Research Article Crystal Growth, Optical and Thermal Studies of 4-Nitroaniline 4- Aminobenzoic Acid: A Fluorescent Material A. Silambarasan, P. Rajesh * and P. Ramasamy Centre for Crystal Growth, SSN College

More information

Engineering Design Notes II Problem Formulation. EE 498/499 Capstone Design Classes Klipsch School of Electrical & Computer Engineering

Engineering Design Notes II Problem Formulation. EE 498/499 Capstone Design Classes Klipsch School of Electrical & Computer Engineering Engineering Design Notes II Problem Formulation EE 498/499 Capstone Design Classes Klipsch School of Electrical & Computer Engineering Topics Overview Specifications Customer Requirements Over-specification

More information

FACTORS CONTRIBUTING TO VARIABILITY IN DNA MICROARRAY RESULTS: THE ABRF MICROARRAY RESEARCH GROUP 2002 STUDY

FACTORS CONTRIBUTING TO VARIABILITY IN DNA MICROARRAY RESULTS: THE ABRF MICROARRAY RESEARCH GROUP 2002 STUDY FACTORS CONTRIBUTING TO VARIABILITY IN DNA MICROARRAY RESULTS: THE ABRF MICROARRAY RESEARCH GROUP 2002 STUDY K. L. Knudtson 1, C. Griffin 2, A. I. Brooks 3, D. A. Iacobas 4, K. Johnson 5, G. Khitrov 6,

More information

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography Ramil-Marcelo L. Mercado, Joyce A. Lowes, Carlton A. Washburn, Douglas J. Guerrero Brewer Science, Inc., 2401 Brewer

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

Studies of Gd-LS at BNL for Reactor Neutrino θ 13 Measurement

Studies of Gd-LS at BNL for Reactor Neutrino θ 13 Measurement Studies of Gd-LS at BNL for Reactor Neutrino θ 13 Measurement Minfang Yeh Brookhaven National Laboratory, Chemistry Department, Upton, NY 11973 US/UK Reactor θ 13 Workshop, Oxford, UK, June 21-22, 2004

More information

ISMI Next Generation Factory (NGF) Roadmap Realization

ISMI Next Generation Factory (NGF) Roadmap Realization ISMI / SEMATECH Symposium Japan 2008 Accelerating Manufacturing Productivity ISMI Next Generation Factory (NGF) Roadmap Realization Joe Draina Director ISMI 30 October 2008 Copyright 2008 SEMATECH, Inc.

More information

Measurement Tailoring Workshops

Measurement Tailoring Workshops Measurement Tailoring Workshops Introduction The Director of Information Systems for Command, Control, Communications, and Computers (DISC4) policy memorandum of 19 September 1996, reference (a), eliminated

More information

1-6.4 THE CRACK TIP: THE INGLIS EQUATION

1-6.4 THE CRACK TIP: THE INGLIS EQUATION 1-6.4 THE CRACK TIP: THE INGLIS EQUATION In our discussions of fracture so far we have assumed that the crack looks much like that shown in Figure 1.26a. The crack separates planes of atoms, is atomically

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Chapter 3 Resist Leaching and Water Uptake

Chapter 3 Resist Leaching and Water Uptake Chapter 3 Resist Leaching and Water Uptake One unique aspect of 193i lithography is the use of water situated between the final lens element and the resist. The resist stack (with or without topcoat) on

More information

Highly efficient deep-uv light-emitting diodes using AlN-based, deep-uv transparent glass electrodes

Highly efficient deep-uv light-emitting diodes using AlN-based, deep-uv transparent glass electrodes Supporting Information Highly efficient deep-uv light-emitting diodes using AlN-based, deep-uv transparent glass electrodes Tae Ho Lee, Byeong Ryong Lee, Kyung Rock Son, Hee Woong Shin,, and Tae Geun Kim

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Design principles for solid-state lithium superionic conductors Yan Wang 1, William Davidson Richards 1, Shyue Ping Ong 1,2, Lincoln J. Miara 3, Jae Chul Kim 1, Yifei Mo 1,4 and Gerbrand Ceder 1,5,6 *

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Multiplexed Profiling Of Single Extracellular Vesicles Kyungheon Lee 1#, Kyle Fraser 1#, Bassel Ghaddar 1, Katy Yang 1, Eunha Kim 1, Leonora Balaj 2, E. Antonio Chiocca 3, Xandra

More information

Airbus Damage Tolerance Methodology

Airbus Damage Tolerance Methodology Chicago, IL FAA Workshop for Composite Damage Tolerance and Maintenance July 19-21, 2006 Prepared by Emilie MORTEAU, Chantal FUALDES Presented by Chantal FUALDES Airbus Head of Composite stress analysis

More information

Graphing to Explore Equations David Kohlstedt University of Minnesota

Graphing to Explore Equations David Kohlstedt University of Minnesota Graphing to Explore Equations David Kohlstedt University of Minnesota One technique for helping students understand the role of variables and parameters in an equation is to explore graphical representations.

More information

Reviewers' Comments: Reviewer #1 (Remarks to the Author)

Reviewers' Comments: Reviewer #1 (Remarks to the Author) Reviewers' Comments: Reviewer #1 (Remarks to the Author) The paper presents results for a new nano-structuring strategy to increase the number of edge sites in MoS2. It also presents results suggesting

More information

THE PENNSYLVANIA STATE UNIVERSITY DEPARTMENT OF ENERGY AND MINERAL ENGINEERING GEOEE 408 CONTAMINANT HYDROLOGY

THE PENNSYLVANIA STATE UNIVERSITY DEPARTMENT OF ENERGY AND MINERAL ENGINEERING GEOEE 408 CONTAMINANT HYDROLOGY THE PENNSYLVANIA STATE UNIVERSITY DEPARTMENT OF ENERGY AND MINERAL ENGINEERING GEOEE 408 CONTAMINANT HYDROLOGY Mid-term Examination Tuesday March 4 th, 2008 75 minutes Answer all three questions. For water

More information

Next Generation Factory Session Opening Remarks

Next Generation Factory Session Opening Remarks Accelerating Manufacturing Productivity Next Generation Factory Session Opening Remarks Sanjay Rajguru Associate Director, ISMI Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Micro/Nanofabrication and Instrumentation Laboratory EECE 403. Dr. Lukas Chrostowski

Micro/Nanofabrication and Instrumentation Laboratory EECE 403. Dr. Lukas Chrostowski Micro/Nanofabrication and Instrumentation Laboratory EECE 403 Dr. Lukas Chrostowski 1 Design cycle Design & Modelling Mask Layout Fabrication (outside) today: electrical testing. Final Test Fabrication

More information

STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH

STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH RYAN M. BOWEN, EYUP CINAR 02/23/2012 0305-320 Design of Experiments Overview Motivation Introduction Theory - Negative Photoresist Deposition Process Experimental

More information

Micron-Resolution Photocurrent of CdTe Solar Cells Using Multiple Wavelengths

Micron-Resolution Photocurrent of CdTe Solar Cells Using Multiple Wavelengths Mat. Res. Soc. Symp. Proc. Vol. 668 2001 Materials Research Society Micron-Resolution Photocurrent of CdTe Solar Cells Using Multiple Wavelengths Jason F. Hiltner 1 and James R. Sites Department of Physics,

More information

HIGH-EFFICIENCY NANOSTRUCTURE BASED THIN FILM SOLAR CELL

HIGH-EFFICIENCY NANOSTRUCTURE BASED THIN FILM SOLAR CELL HIGH-EFFICIENCY NANOSTRUCTURE BASED THIN FILM SOLAR CELL Gopal Pethuraja, Adam Sood. Roger Welser, Yash Puri and Ashok Sood Magnolia Solar Corporation Pradeep Haldar CNSE, Albany, NY E. Fred Schubert RPI,

More information

Simple fabrication of highly ordered AAO nanotubes

Simple fabrication of highly ordered AAO nanotubes Journal of Optoelectronic and Biomedical Materials Volume 1, Issue 1, March 2009, p. 79-84 Simple fabrication of highly ordered AAO nanotubes N. Taşaltin a, S. Öztürk a, H. Yüzer b, Z. Z. Öztürk a,b* a

More information

Progress Towards an International Image Quality Monitoring Framework for Quantitative Imaging

Progress Towards an International Image Quality Monitoring Framework for Quantitative Imaging Progress Towards an International Image Quality Monitoring Framework for Quantitative Imaging Ricardo S. Avila rick.avila@accumetra.com October 2, 2017 Quantitative Imaging Workshop XIV Hubble Space Telescope

More information

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial? It s very small world Electronics and Microelectronics AE4B34EM 9. lecture IC processing technology Wafer fabrication Lithography How to get 1 000 000 000 Components to 1 cm 2 Human hair on the surface

More information

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them. Overview Any e-beam exposure is highly dependant upon processing and the substrate. This information is provided as a starting point and will required experimentation to optimize things for your work.

More information

Modeling Heterogeneous User. Churn and Local Resilience of Unstructured P2P Networks

Modeling Heterogeneous User. Churn and Local Resilience of Unstructured P2P Networks Modeling Heterogeneous User Churn and Local Resilience of Unstructured P2P Networks Zhongmei Yao Joint work with Derek Leonard, Xiaoming Wang, and Dmitri Loguinov Internet Research Lab Department of Computer

More information

news from the EUV ERC

news from the EUV ERC news from the V o l u m e 2 I s s u e 1 F e b r u a r y, 2 0 1 0 Annual Retreat 2010 In this Issue Annual Retreat 2010 Page 1 Presentations by Principal Investigators Pages 2-5 Graduating Students Page

More information

Electron beam and scanning probe lithography: A comparison

Electron beam and scanning probe lithography: A comparison Electron beam and scanning probe lithography: A comparison Kathryn Wilder a) and Calvin F. Quate E. L. Ginzton Laboratory, Stanford University, Stanford, California 94305-4085 Bhanwar Singh and David F.

More information

Properties & Reliability of Improved Large Acceptance Optical Fibers

Properties & Reliability of Improved Large Acceptance Optical Fibers Properties & Reliability of Improved Large Acceptance Optical Fibers Bolesh J. Skutnik*, Cheryl Smith, Kelly Moran, Kevin Bakhshpour CeramOptec Industries, Inc., East Longmeadow, MA 01028 ABSTRACT The

More information

MULTI-DIMENSIONAL ANALYSIS OF PRECIPITATES IN A 12% Cr STEEL

MULTI-DIMENSIONAL ANALYSIS OF PRECIPITATES IN A 12% Cr STEEL MULTI-DIMENSIONAL ANALYSIS OF PRECIPITATES IN A 12% Cr STEEL G. Deyzel 1,2 and J.E. Westraadt 2 1 Physics Department, 2 Centre for HRTEM, Nelson Mandela Metropolitan University, Port Elizabeth, South Africa

More information

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion Debbie Gustafson ENERGETIQ 7/16/2009 Agenda Background on the EQ-10 Electrodeless Z-Pinch TM EUV source Detailed characterization of the

More information

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance Novel olyphenol Base Molecular Resist Having High Thermal Resistance Taku Hirayama, Takeyoshi Mimura, Jun Iwashita, Makiko Irie, Daiju hiono, Hideo Hada and Takeshi Iwai TKY KA KGY C., LTD. 2008 International

More information

Mechanical reliability of fiber Bragg gratings for strain or temperature sensor

Mechanical reliability of fiber Bragg gratings for strain or temperature sensor Mechanical reliability of fiber Bragg gratings for strain or temperature sensor Hyuk-Jin Yoon, Sang-Oh Park, Chun-Gon Kim Smart Structures & Composites Laboratory, KAIST, Korea ABSTRACT Several factors

More information