Emerging Materials for Front End IC Process

Size: px
Start display at page:

Download "Emerging Materials for Front End IC Process"

Transcription

1 Emerging Materials for Front End IC Process Mark Thirsk Linx Consulting

2 Device Making Unit Operations % Total = 12,229, >1 Cleans CMP i-line resist Implant 248nm resist 193nm resist 193i ARCs ALD ECD Cu STI SiCOH Barrier Seed Aluminum Source: Linx Consulting 2

3 Select Device Making Unit Operations % Total = 18,923, >1 Cleans CMP i-line resist Implant ARCs ALD 248nm resist ECD Cu 193nm resist Strain 193i SiCOH STI Barrier Seed Aluminum Source: Linx Consulting 3

4 Relative Unit Operations And Growth Unit Operations CAGR 2006 to i 100 Strain 50 ALD SiCOH 193nm resist STI ECD Cu ARCs 248nm resist Aluminum CMP Implant i-line resist Cleans Average Proportion of Unit Operations Source: Linx Consulting 4

5 FEOL Processes Covered STI and CMP Gate Stack Engineering Strain Engineering Pre-Metal Dielectric High k Capacitor Dielectrics Patterning Technologies Contacts/Plugs Cleans 5

6 Shallow Trench Isolation Shallow Trench isolation is an isolation technique implemented on sub-0.18-micron technologies as an alternative to LOCOS / PECVD and is carried out one time per device at sub-180 nm to isolate transistors The flatness of the resulting wafer enables more precise pattern definition for subsequent layers With the use of SACVD for gap filling in STI, this can be extended to 32 nm and beyond Higher aspect ratios are required for memory devices 6

7 STI - Processes PARAMETERS HDP CVD HARP SPIN ON TOOL HDP CVD SA CVD (low temperature) TRACK for SOG deposition; HDP CVD on top of SOG FILM THICKNESS 3000 angstroms 3000 angstroms 3000 angstroms PRECURSORS TEOS O 3 AND TEOS POLYSILOZANE, cured in NH 3 MATERIAL COST PER DEPOSITION $0.06 $0.10 $1.5 7

8 Direct STI CMP 1000 s of operations 70,000 60,000 50,000 40,000 30,000 20,000 10,000-80% 70% 60% 50% 40% 30% 20% 10% 0% % 65 nm Ceria based HSS Slurry is the primary option for STI down to 45nm Below 65 nm Fixed Abrasive approaches with embedded Ceria are being adopted Processes often use HSS and FA Fixed Abrasive has very low sensitivity to topography and excellent selectivity for 32nm

9 Ceria - One Slurry Fits All? The concept of one slurry that can be tailored for several applications is being pursued USE DILUTION PROCESS BENEFITS STI 1:2:7 - Abrasive:Additives:DIW Dilute compared to colloidal/fumed silica slurry with stable removal rate and easy cleanability PMD 1:2 - STI Slurry:DIW Higher rate in comparison to colloidal/fumed silica slurry. Stability of removal rate and easy cleanability OXIDE 1:3 - STI Slurry:DIW Cost, high rate and easy cleanability 9

10 High-κ Gate Integration Conventional silicon dioxide gate dielectric structure compared to a proposed high-κ dielectric structures Metal Gate High k Dielectric Strained Si Substrate Gate Last Approach 1 Critical Litho level 1 Litho Level 2 CMP steps Poly Gate 1.2 nm SiON Strained Si Substrate Poly Gate Metal Gate Cap Layer High k Dielectric Strained Si Substrate Gate First Approach 1 Critical Litho level 1 Litho Level 0 CMP steps ~1.6x Capacitance ~0.01x Leakage 10

11 Gate Integration Alternatives Gate First Process High k Deposition Cap Deposition Cap Litho Metal Gate Deposition Poly Deposition Gate Litho Spacer, Strain Deposition PMD Deposition PMD CMP Dielectric Cap Layer - Lanthanum, Dysprosium for NMOS, Aluminum for PMOS Gate Last Process High k Deposition Poly Deposition Gate Litho & Etch Strain and PMD PMD CMP Poly Etch P Metal Deposition P Metal Litho & Etch N Metal Deposition Metal Fill Metal CMP 11

12 High-κ Gate Dielectric PARAMETERS 45 nm 32 nm perspectives FILM THICKNESS A A DEPOSITION TIME 8-10 sec 7-8 sec PRECURSORS TDMA Hf and TDEA Hf and HfCl 4 are the main precursors used in ALD of HfO 2 MATERIAL COST PER DEPOSITION $11.25 to $30 POST DEPOSITION TREATMENTS Thermal Thermal TEMA Hf and Hf TDMAT - Hafnium dimethylamide Hf(N(CH 3 ) 2 ) 4 and Hafnium alkoxides are also used 12

13 Metal Gate Intel announced that they have achieved 1-nm electrically-thick high-k dielectrics, dual-band-edge work function metal gates, third-generation strained silicon, using low-cost 193-nm dry patterning for critical layers FUSi approaches with Ni and Ytterbium implants is not broadly used Linx patent review on metal gates show likely materials needs are: High-κ dielectric - HfO 2, HfSiO, HfSiON NMOS - Zr, W, Ta, Hf, Ti, Al, Metal carbide, transition metal aluminides (e.g. Ti 3 Al, ZrAl) PMOS - Ru, Pa, Pt, Co, Ni, TiAlN, WCN, Metal oxide Low resistance layers - TiN, W, Ti, Al, Ta, TaN, Co, polysilicon Judging by the literature, HfSiON seems to be the consensus dielectric material, with a nominal κ of ~12, which can be also tuned by ozonization or nitridation. This is likely a single node solution. Possible low-resistance filler metals, W, Ta, TiN and TaN, and polysilicon because the CMP is already well established in HVM 13

14 Metal Gate Deposition PARAMETERS 45 nm 32 nm perspectives TOOL Process will be a hybrid PVD and ALD. PVD will be Ni-Si (FUSI) and a HfSiO. There is also a spike anneal treatment and possibly UV cure as well. FILM THICKNESS 40-50A 35-40A DEPOSITION TIME 50 sec 40 sec PRECURSORS MATERIAL COST PER DEPOSITION TaN films were deposited by ALD from commercial Tert-Butylimido- Tris(Diethylamido)Tantalum (TBTDET) and two novel mixed amido/imido/guanidinato and mixed amido/imido/hydrazido precursors $10 $8-10 POST DEPOSITION TREATMENTS Cooling Cooling TaN films were deposited by ALD from commercial (Tert- Butylimido- Tris(Diethylamido)Tantalum) TBTDET and two novel mixed amido/imido/guanidinato and mixed amido/imido/hydrazido precursors 14

15 Strain Engineering PMD dielectric layers, shallow trench isolation, and SiGe source/drain replacement can all induce useful strain on the channels of MOSFETs. these techniques can give a better trade-off of I ON for I OFF in planar designs Tensile STI Selective Epi SiGe Strained Si / SiGe Tensile SiN Compressive SiN Tensile PMD 15

16 Strain Engineering Approximately 1% induced strain results in a 10 to 20% improvement in I on Epi SiGe at S/D Strained Layers Global BiAxial Strain Buried SiGe Inducing Uniaxial Strain 16

17 Strain Engineering - Processing COMPRESSIVE TENSILE FILMS Si 3 N 4 SiGe PRECUROSRS NEXT GENERATION First generation reportedly use silane and ammonia. Common precursors also include dichlorosilane and ammonia, processed at about 700 to 800 C. The first generation of low temperature nitrides include BTBAS and HCDS, which are processed at 600 to 700 C Future products may include TSA and SAM 24 from Air Liquide and TBOS, both for ALD and CVD processes GeH 4 and silane are mostly used. SiGe needs to be deposited in pads. This means that there is an oxide or nitride mask step. HCl is used as an etchant. Precursors with Ge and Cl are being investigated 17

18 PMD Films Processes PARAMETERS HDP CVD SA CVD and PE CVD SPIN ON FILM THICKNESS 65 nm A A ~ A PRECURSORS TEOS OMCATS 3MS HSQ MATERIAL COST PER DEPOSITION 45 nm NODE FILM & PRECURSORS 32 nm NODE FILM & PRECURSORS $0.1 $0.5 to $1.0 $0.8 to $1.5 Continuation of same films materials and processes Continuation of same films materials and processes SACVD BPSG systems can extend CVD technology with a new ozonated BPSG process offering technical and operating benefits over existing systems in the pre-metal dielectric Spin-on PMDs are used to meet the requirements as current PMD technologies of HDP CVD and BPSG reflow are constrained by void formation or high thermal budget requirement 18

19 DRAM Capacitor Dielectrics Stacked capacitor-over-bit line (COB) architecture for the memory arrays Cross section taken in the plane of the bit line showing recessed channel array transistors (RCATs) used for the wordline transistors 19

20 DRAM Capacitor Dielectrics MATERIALS PRICE ($/g) GENERATION TMA & HFSiON/TMA 6 to 8 90 TEMAHf, TEMAZr HF/TMA & ZrSiO 12 to TEMAHf, TEMAZr, BST, GST, Ta-based 12 to Zr/RARE EARTHS Ru/Ta TaSiN HfSixOy For ALD of hafnium oxide and hafnium oxynitride, hafnium ethylmethylamide (TEMA Hf) Hf(N(CH 3 )(C 2 H 5 )) 4, is a good liquid precursor 2. M(OR) y in which M is a metal such as hafnium, lanthanum, zirconium, titanium, tantalum, yttrium or aluminum, R is an alkyl group (e.g., an ethyl, propyl, isopropyl, t-butyl, or neopentyl group), and y is between 3 and 5 3. Metal - cyclopentadienyls (M(C 5 H 5 )n or M(Cp) n ) are being explored for metal ALD, but not extensively for high-k ALD 20

21 DRAM Capacitor Dielectrics PARAMETERS TMA HF & laminates ZrSiO/rare earths TOOLS Jusung, Kokusai, TEL, Aixtron, Aviza, AMAT, IPS FILM THICKNESS A A A Anneal required PRECURSORS MATERIAL COST PER DEPOSITION POST DEPOSITION TREATMENTS TMA - Trimethyl aluminum Al (CH 3 ) 3 for Al 2 O 3 TDMAHF; Dicyclopentadienyldimeth yl hafnium, Hf(C 5 H 5 ) 2 (CH 3 ) 2 ; Because of its low residual carbon content and high deposition rate, TEMA Hf is currently the preferred hafnium precursor for ALD hafnium silicate films $6 to $8 $15 to $30 $18 to $32 Slow cooling Slow cooling Slow cooling TEMA Zr tetrakis(dimethylamido)zi rconium (Zr(NMe 2 ) 4 ) 21

22 Novel Precursor Markets $250 $200 millions $150 $100 $50 $ HK Capacitor Dielectric Strain HKGD Metal Gate 22

23 Patterning Trends Lithography alone may not be enough to meet the need for shrinking device features Patterning processes will become significantly more complex We have not yet met the requirement to change λ again EUV showing good progress, but some way to go yet No show-stoppers in sight, but the road is not as smooth as it has been Implementation of Double Patterning Implementation of Ultra High NA Immersion 23

24 IC Lithography Roadmap ITRS ITRS 2005 / 6 Half Pitch / nm year cycle Dry Optical Lithography Immersion Lithography Double Patterning Double Exposure EUV Imprint Direct Write *** *** *** *** *** *** *** *** E-beam *** for development and prototyping applications only Expected Solution Potential Solution Unlikely Solution 5-24

25 Double Patterning Benefits 1/2 Pitch Best Case Resolution Benefits for Double Patterning Immersion solutions H20 immersion solution Gen2 Fluid solution k1 = 0.35 k1 = 0.30 k1 = 0.25 k1 = 0.20 k1 = k1 = 0.15 Gen3 Fluid & Material solution nm NA 25

26 Complexity of the Layer Stack Increasing number of layers required for Patterning Functionality Top Coat Immersion Fluid Reflection control BARC Conditioning Filter TARC Silicon cont. BARC Image Capture Pattern Transfer Photoresist Ca Photoresist Hardmask Multiple use for Double Patterning Spin on Hardmask Under Layer Amorphous Carbon DE Materials 26

27 Total Patterning Market Growth $2,500 $ 000s $2,000 $1,500 $1,000 $500 SiBARC SOHM UL 193 TARC 284 TARC i-line TARC 193 BARC 248 BARC i-line BARC 193i Resist 193 Resist 248 Resist i-line Resist $

28 Relative Patterning Cost $ 000s $90 $80 $70 $60 $50 $40 $30 $20 $10 $0 Multilayer Material Market Size SiBARC SOHM UL Multilayer Patterning CoO CVD Precursor Spin On Material Etchant 28

29 CD Modification Roadmap Device Logic Memory Node DRAM Contacts Flow Chemical Plasma CH Shrink NAND Contacts Gate FEOL Gate Etch Trim Chemical Plasma CVD / Plasma Spacer Plasma Pattern Modification Double Patterning Logic Contacts Via 1 Chemical Freezing Chemical Plasma Chemical Plasma 29

30 Tungsten CMP Operations 250, , s of operations 120% 100% 150, ,000 50,000 80% 60% 40% 20% % 65 nm % W ALD nucleation ensures contact reliability for high AR with highly controllable and uniformly deposits. ALD nucleation ensures a wide process window for the subsequent via fill of the tungsten plug using conventional CVD 30

31 Cleaning Technology Opportunities FEOL BEOL Critical Cleans Post Implant Strip Post Etch Residue Pre- Deposition Acid Mixtures Plasma Wet Formulated Cleans Dilute HF Formulated Cleans Advanced cleans to minimize etch and physical damage Formulated Cleans or Additives to replace SPM and SC1 Improved BEOL Cleans compatible with advanced layer stacks Formulated Cleans with high selectivity of metal oxides 31

32 Improved FEOL Cleans Currently the overwhelming number of FEOL cleaning operations are inorganic based aqueous cleans in batch immersion systems. Although single wafer processes are emerging, and dilute chemistries are being employed to reduce waste, cost and improve safety, the possibility of simplified, effective processes that solve the trade-offs of aggressive chemistry and megasonic damage can succeed Damage-free cleaning that avoids Silicon loss, while minimizing the requirement for high physical energy such as megasonics Ability to measure smaller particles. Control improvements are impossible contamination cannot be measured - Smaller particles on surfaces - especially in trench structures - Smaller particles in chemicals Applied Materials AM1, distributed by Mitsubishi, combines a chelating agent in a SPM formulation reducing the requirement for an SC2 step 32

33 Relative Markets Opportunities CAGR Unit Operations - 07 to % Strain ALD 193i MultiLayer Mats SiCOH 193nm resist Front End processes generally growing more rapidly than other segments, although current market sizes are smaller STI ECD Cu ARCs Seed 248nm resist Aluminum CMP Implant i-line resist Cleans $500 Mio. Market Size % Proportion of Unit Operations BEOL FEOL & BEOL FEOL 33

34 Conclusions Memory device volume and architecture will be a key factor in the future materials demand landscape A multitude of new materials and performance requirements will emerge in the next 5 years New materials, ancillaries and processes will proliferate FEOL process will grow faster and while being concentrated in advanced manufacturers The competitive landscape throughout the value chain is changing, and may struggle to support new requirements 34

35 Supplemental Slides Double Patterning Examples 35

36 Spacer Double Patterning Sacrificial planarizing material (i.e. PETEOS) CVD Spacer (i.e. Nitride, SiON etc.) 2 nd Sacrificial material High resolution, low OLE pattern achieved with last generation lithography 36

37 Resist Freeze Double Patterning - Lines Pattern Resist Structures Coat with Freeze material Repeat resist pattern Etch Transfer High resolution Potential poor OLE Need to bias first resist pattern Potential line width differences 37

38 Resist Freeze Double Patterning - Trenches Pattern Resist Structures Coat with Shrink material and etch Repeat resist & shrink Etch transfer to hardmask Strip Etch with hard mask High resolution Potential poor OLE Trench patterns intrinsically more difficult Single hard mask requirement 38

39 Competition Status - AMAT AMAT press release on Improved APF film: APF-e film is also highly selective to polysilicon (6:1) and oxide etching (15:1) and aims to eliminate the line edge roughness associated with photoresist-only schemes to allow tighter critical dimensional control for improved device performance and yield. APF-e technology also eliminates the expensive wet cleaning steps needed by multi-layer resist processes, the company said The APF optically engineered patterning film stack combines the CVD-based amorphous carbon APF or APF-e hardmask films with Applied s dielectric anti-reflective coating (DARC) films to enable advanced lithography and etching using standard lithography tools. Applied s APF films are already being used in up to seven layers in 70nm flash memory chips, including shallow trench isolation and sub-40nm gate definition, plus other key applications. Even more layers are expected to be implemented in nextgeneration devices 39

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

CMP Defects and Evolution of PCMP Cleans

CMP Defects and Evolution of PCMP Cleans CMP Defects and Evolution of PCMP Cleans March 27, 2017 Iqbal Ali iali@linx-consulting.com (408)839-9924 SPCC & PCMP Conference, Austin, TX Agenda 1. Introduction to Linx Consulting 2. Where Have We Been

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

FOR SEMICONDUCTORS 2009 EDITION

FOR SEMICONDUCTORS 2009 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2009 EDITION FRONT END PROCESSES THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Balancing Technical and Business Challenges in CMP R&D Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Outline Background and Business Climate for CMP STORM Development CMP

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Paul C. McIntyre Department of Materials Science & Engineering Geballe Laboratory for Advanced Materials Stanford

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

BASICS OF MANUFACTURING INTEGRATED CIRCUITS

BASICS OF MANUFACTURING INTEGRATED CIRCUITS BASICS OF MANUFACTURING INTEGRATED CIRCUITS Opportunities for filtration exist for the manufacture of very small electronic components referred to as semiconductors. This broad category includes devices

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

EE 247B/ME 218: Introduction to MEMS Design Lecture 25m2: Sensing Circuit Non-Idealities & Integration CTN 4/21/16

EE 247B/ME 218: Introduction to MEMS Design Lecture 25m2: Sensing Circuit Non-Idealities & Integration CTN 4/21/16 EE 247B/ME 218: Introduction to MEM esign Actual Op Amps Are Not Ideal Actual op amps, of course, are not ideal; rather, they enerate noise Have finite gain, A o Have finite bandwidth, b Have finite input

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

p. 57 p. 89 p. 97 p. 119

p. 57 p. 89 p. 97 p. 119 Preface Program Committee Members Transistor Physics History John Bardeen and Transistor Physics p. 3 Challenges p. xiii p. xv Technology in the Internet Era p. 33 Metrology Needs and Challenges for the

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS John Yasaitis a, Michael Judy a, Tim Brosnihan a, Peter Garone a, Nikolay Pokrovskiy a, Debbie Sniderman a,scottlimb

More information

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices International Conference on Characterization and Metrology for ULSI Technology March 15-18, 2005 Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices Yoshi Senzaki, Kisik

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Integrated Process Technology Development for the sub 7nm Era

Integrated Process Technology Development for the sub 7nm Era Integrated Process Technology Development for the sub 7nm Era July 12, 2017 Alex Oscilowski President TEL Technology Center, America, LLC. TEL s Global R&D Operations Korea U.S. imec (Belgium) TEL Technology

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Micro/Nano-Electromechanical Transducers (imint) 2

Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Micro/Nano-Electromechanical Transducers (imint) 2 Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Steven M. George 1,2,3, Victor M. Bright 1,4,Y. C. Lee 1,4 1 DARPA Center on Science and Technology for Integrated

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys Chapter 5 Epitaxial Growth of Si 1-y C y Alloys 5.1 Introduction Traditionally, the incorporation of substitutional carbon into silicon and silicongermanium alloys during growth is of great interest for

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information