Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Size: px
Start display at page:

Download "Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab."

Transcription

1 Hanyang University Soft Lithography Jin-Goo Park Materials and Chemical Engineering Hanyang University, Ansan Electronic Materials and Processing Lab. Introduction to Soft Lithography Research Micro- Electro- Mechanical System Micro- Reactors Micro- Electronics Microelectronics (low cost, < 100 nm) Micro- Analysis Bio- Technology Micro- Optics Micro- Sensors Present (Photolithography) Future (Photolithography and Non-photolithography Methods) 1

2 Photolithography vs. Imprinting Photo Lithography Rigid photomask High cost Optical diffraction - Not surmount 100nm barrier Not apply for nonplanr surface No control over chemistry -Chemical functionalities on surface 2-D structure Limited by photosensitive material Imprinting Elastomeric stamp or mold Non-photolithography Low cost, easy to use, 30 nm ~ 500 um Apply nonplaner surface 2-D, 3-D structure Use variety of materials Surface chemistry Photo Litho. vs. Imprinting To make metal lines Photolithography Imprinting PR Spin Coat Resist Spin Coat Soft Bake Bake Mask Alignment Alignment Exposure Heating and Pressing Develop Hard Bake RIE (Reactive Ion Etching) Deposition Deposition Lift Off Lift Off 2

3 Embossing Nano Imprint Lithography (NIL) Cold Embossing Embossing Step and Flash Imprint Lithography (SFIL) Hot Embossing Imprint Lithography Soft Embossing Soft Lithography Two Types of Embossing Many different names for the same process UV Light Heat and Pressure Cold Embossing Soft Embossing Soft Lithography Step and Flash Imprint Lithography (SFIL) Nano Imprint Lithography (NIL) Imprint Lithography Hot Embossing 3

4 Approaches to Nano Imprinting Temperature > Tg Contact Force ~2-40kN Vacuum Achieved Resolution : < 100 nm Room Temperature Contact Force ~ 1-100N UV Light ( nm) Achieved Resolution : < 15 nm Room Temperature Contact Force ~1-40N Inked stamp Achieved Resolution : < 50 nm Typical Equipment set EV520 Semi-Automated Bonder and Hot Embosser Automated Bonding process Hot Embossing and Nanoimprinting Support for All Bonding Processes Temp. 550C max. Voltage 2kV max. Pressure 8,000 lbf max. Up to 8 s & Substrates 4

5 Hot Embossing Chamber Cross Section Center Contact Pin (Ceramic or Teflon) Top and bottom side heater with independent temperature control Contact Force generated by external pneumatic cylinder Uniforce Compliant Membrane Small Chamber Hot Embossing Procedure Mold Align Heating Plate Molding Demolding 5

6 Silicon Master Nickel Master Embossing tool: Electroplated nickel from a silicon master after demolding step 6

7 Embossing Technique Embossing from Material : Ni 500 μm Embossed Microstructure Material: Polycarbonate Height : 50 μm Micro-Embossing Solutions for Polymer-Bio-Chips 7

8 Hanyang University PDMS Based Technique Electronic Materials and Processing Lab. Introduction to PDMS PDMS (Polydimethylsiloxane) has many unique properties and is therefore used in many various applications. PDMS stem from the nature of the siloxane bond The siloxane bond CH 3 CH 3..-(-Si-O-Si-O-) n -.. CH 3 CH 3 Curing Siloxane oligomer Siloxane cross-linker Property Value Color Clear Viscosity 3900 mpa s Specific gravity 1.08 Glass transition temperature 150 K Thermal conductivity 0.18 WmºK Shelf life 24 months Product specification Dow Corning Sylgard 184 Recommend curing conditions 24 hr at 23 C or 4 hr at 65 C or 1 hr at 100 C or 15 min at 150 C 8

9 Characteristics of PDMS Properties Elastic characteristic Low interfacial free energy (~ 21.6 dynes/cm) Chemically inert - do not adhere to, react with Not hydroscopic - not swell with humidity Easy to pass gases Good thermal stability (~ 186 in air) Transparent down to ~300 nm Durable elastomer ( over 50 time) Technical problems Thermal expansion - difficult to get high accuracy Sagging / pairing - deform or distort and generate defects in the pattern - relief structure can t withstand - aspect ratio must be 0.2~2 Shrinking - shrinks by ~1% upon curing - readily swelled by non-polar organic solvents Techniques of Soft Lithography μcp (Microcontact Printing) REM (Replica Molding) μtm (MicroTransfer Molding) MIMIC (Micromolding in Capillaries) SAMIM (Solvent-Assisted Micromolding) 9

10 Microcontact Printing Transfer of SAM precursor with elastomeric stamp onto substrat master generation by photolithography and similar techniques stamp is obtained by casting of elastomer (PDMS, e.g.) over master Pattern generation by stamping of SAM precursor onto substrate Microcontact Printing Stamped SAM pattern can be further processed by etching or deposition: µcp technique can also be applied to curved surfaces of stamp or substrate Quality of µcp SAMs is comparable to films obtained by adsorption from solution 10

11 Replica Molding -Mold prepolymer -Cure -Peel off Use elastic polymer as master for molding of prepolymer Elasticity and low surface energy of stamp make release of mold easy Allows duplication of three-dimensional topologis in a single step Faithful duplication of complex structure in the master Nanometer resolution (~10nm) UV curable prepolymers : shrinkage of less than 3% on curing (no solvent) Replica Molding (a) (b) (a) Cr nano-structures on a master (b) Polyurethane nano-structures by replication against PDMS mold Heights : Cr lines ~13nm, PU lines ~8nm (C) (d) (c) Au structures on a master (d) Polyurethane nano-structures by replication against PDMS mold Feature size: Au ~50 nm, Pu ~ 30 nm The relief structures are re-configured by mechanical deformation and then replicated No damage to the master after repeated times (10 times) to form PDMS stamps No change in the quality of these nano-structures on the PU replicas Simplicity and low cost of this procedure : manufacturing of nanometer-sized structures 11

12 Microtransfer Molding Procedures 1. A drop of liquid prepolymer is applied to patterned surface of a PDMS mold 2. The excess liquid removed by scraping with PDMS block or by blowing off with N 2 3. The filled mold is placed in contact with a substrate and heated 4. After curing the mold is peeled away 5. Thin films must be removed using O 2 RIE Convenient method for fabrication of microstructures Nonplanr substrates and 3D structures layer by layer Generating both interconnected and isolated microstructures Variety materials other than organic polymers: glassy carbon, sol-gels, ceramics Microtransfer Molding Polymeric microstructures fabricated using microtransfer molding (a) Arrays of 3-cm long wave guides of PU fabricated on Si/SiO2. - different lateral dimensions and are separated by different spacing (b) An SEM image of the ends of the wave guides ( ~3um 2 ) (c) An SEM image of an array of isolated micro-cylinders of epoxy on 5-um lines of epoxy, supported on a glass slide. (d ) An SEM image of a three-layer structure on a glass slide made from a thermally curable epoxy. 12

13 Micromolding in Capillaries Procedures 1. PDMS is placed on a substrate ( network of empty channels) 2. Low-viscosity liquid prepolymer is placed at the end of channels 3. Spontaneous filling by capillary action into the network of channels 4. After curing, mold is removed and network of Material remains 3D microstructure formation by filling of micro-capillaries with liquid precursor Low viscosity prepolymer Capillary filling is rapid and complete over short distance( ~ 1cm) Rate of filling decreases as the cross-sectional dimensions of the capillary decrease Micromolding in Capillaries System without solvents Systems with solvents A,C polyacyate B,D polyurethane A,B polymer beads C,D polyaniline Emeraldine A shrinkage of less than 3% after curing Solvent are evaporated after filling Solvent does not swell PDMS 13

14 Solvent-Assisted Micromolding procedure 1. Wet a PDMS mold with the solvent 2. Bring it into contact with the surface of the substrate 3. Solvent dissolves (or swells) a thin layer of the substrate, 4. Fluid or gel is molded against the relief structures in the mold. 5. The solvent dissipates and evaporates, 6. Fluid solidifies and forms a patterned SAMIM uses a solvent instead of temperature to soften the material Solvent have high vapor pressure and a moderately high surface tension - rapid evaporation of the excess solvent and minimal swelling of the PDMS Hydrophilic elastomers or surface modification of PDMS is required - partially wet Solvent-Assisted Micromolding (a) SEM images of structures in photoresist (1.6um) spin-coated on Si/SiO2, (b) Polystyrene ( 2.0 um thick) (c) ABS ( 0.85 um thick) (d )AFM image of nanostructures in a thin (0.4 mm thick) film of Microposit Common characteristic of structure are joined by a thin, underlying film of the polymer Film can be removed by O 2 RIE Polymeric structures can be used as masks in the etching of underying substrates 14

15 Summary in PDMS Based Pattern Generation Advantage Non-Photolithographic technics Patterning on scales < 100nm Patterning : solid materials liquid materials surface functionalities large areas Three dimensional microstructures No diffraction limit (30nm) Optical transparency of the mask Good control over surface chemistry Convenient, inexpensive Minimize waste of materials Disadvantage Patterns, mold may distorted, deformed ( pairing, sagging,swelling, shrinking ) Difficult to achieve accurate registration with elastomers (<1um) Defects higher than photolithography Micro contact printing works well only a limited range of surfaces Micro molding in capillaries is slow REM, utm, SAMIM leave a thin film -- must be removed by O 2 RIE The soft-lithography model system Microcontact printing of alkanethiols on gold was the first representative of soft-lithography processes Master Elastomer Stamp Resist-forming ink Inking methods Printing Wet etching Based on contact and pattern replication Silicon, SOI : Photolithography, e-beam PDMS(dimenthylsiloxane) : curing hours Thermal and chemical shrinking : To consider the design of the master To self-assemble monolayer on noble metal Wettability, adhesion, chemical reactivity electrical conduction Wet inking or contact inking Printing time dependent Self-assembled monolayer as resist 15

16 Micro-Contact Printing Micro-Contact Printed of Thiols SEM image // Scheme Feature of the stamps ; 0.6 μm Ⅹ 3.0 μm Scheme showing diffusion paths of molecular ink during printing ; The diffusion of ink molecules ; Zone of contact is dominant ; Printing & reaction time ; reactant contcentration ; Pattern width 16

17 The Factor of Defect Wet inking-print-etch Contact inking-print-etch DDT : Dodecanethiol -No contrast HDT : Hexadecanethiol - >500nm ink diffusion ECT : Eicosanethiol - 100nm ink diffusion SEM Image of Gold Patterns Contact inking printing - etching The formation of this pattern is difficult using immersion inking Simultaneous printing of large areas and small Printing of small features using a diffusive and volatile ink Accurate printing of small voids Formation of 100 nm Au line 17

18 The Effect of Stamp Hardness The SEM images were acquired after coating molded PDMS stamps with a thin layer of gold Sylgard 184 with a Young s modulus of 3MPa Material with a Young s modulus of 9.7MPa Examples of Layered Hybrid Stamps 18

19 Directed Processing of a Substrate with Fluids These are several approaches for the selective placement of single or multiple chemicals with inherent alignment Printing of Biological Molecules (I) Direct printing 19

20 Printing of Biological Molecules (II) Localized inking and offset printing Printing of Biological Molecules (III) Subtractive offset printing 20

21 Printing of Catalysts The capability of printing to transfer chemical reagents from an elastomeric stamp to a substrate can be used to direct the electroless deposition(eld) 21

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Vacuum casting, a new answer for manufacturing biomicrosystems

Vacuum casting, a new answer for manufacturing biomicrosystems 1 Vacuum casting, a new answer for manufacturing biomicrosystems M Denoual 1 *, P Mognol 2, and B Lepioufle 1 1 Biomis-SATIE ENS-Cachan antenne de Bretagne, Bruz, France 2 IRCCyN Nantes, France The manuscript

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Large-area patterning by roller-based nanoimprint lithography

Large-area patterning by roller-based nanoimprint lithography JOANNEUM RESEARCH Forschungsgesellschaft Institute MATERIALS, Weiz, Austria Large-area patterning by roller-based nanoimprint lithography Ursula Palfinger, Dieter Nees, Stephan Ruttloff, Markus Leitgeb,

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Delamination of Thin Films Using Laser Induced Stress Waves

Delamination of Thin Films Using Laser Induced Stress Waves Center for Nanoscale Chemical-Electrical-Mechanical Manufacturing Systems Delamination of Thin Films Using Laser Induced Stress Waves Undergraduate Researcher Angelica Anne Vargas, Research Experience

More information

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES M. Denoual *, P. Mognol **, B. Lepioufle * * Biomis-SATIE ENS-Cachan antenne de Bretagne, Campus Ker Lann, av Robert Schumann 35170

More information

The Effect of Hydrophobic Patterning on Micromolding of Aqueous-Derived Silk Structures

The Effect of Hydrophobic Patterning on Micromolding of Aqueous-Derived Silk Structures The Effect of Hydrophobic Patterning on Micromolding of Aqueous-Derived Silk Structures Konstantinos Tsioris 1, Robert D White 1, David L Kaplan 2, and Peter Y Wong 1 1 Mechanical Engineering, Tufts University,

More information

Feasibility of Biodegradable MEMS based on Cellulose Paper

Feasibility of Biodegradable MEMS based on Cellulose Paper Final Report: AOARD-05-4035 Feasibility of Biodegradable MEMS based on Cellulose Paper Prof. Jaehwan Kim Creative Research Center for EAPap Actuator, Mechanical Engineering Department, Inha University,

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Superionic Solid State Stamping (S4)

Superionic Solid State Stamping (S4) Superionic Solid State Stamping (S4) Lead Faculty Researcher: Placid Ferreira Department: Materials Science & Engineering Hsu et al, Nano Letters, 2007 1. Description: This dry, single step, electrochemical

More information

Precision Electroforming in High-Strength NiColoy

Precision Electroforming in High-Strength NiColoy Taking the Stress out of Electroforming www.nicoform.com Precision Electroforming in High-Strength NiColoy Copyright 2007 NiCoForm, Inc. (Rochester, NY) Electroforming What is it? What is it good for?

More information

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Victor Usov, Graham Cross, Neal O Hara, Declan Scanlan, Sander Paulen, Chris de Ruijter, Daniel Vlasveld,

More information

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2 Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 6 Through-wafer Interconnect EEL6935 Advanced MEMS 2005 H. Xie 1/21/2005 1 Motivations: Wafer-level packaging CMOS 3D Integration

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT),

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT), Sub-µ structured Lotus Surfaces Manufacturing M. Worgull 1, M. Heckele 1, T. Mappes 2, B. Matthis 1, G. Tosello 3, T. Metz 4, J. Gavillet 5, P. Koltay 4, H. N. Hansen 3 1 Forschungszentrum Karlsruhe (FZK),

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Optimizing the Assembly Process with Cure-On-Demand UV/Visible Light-Curable Adhesives

Optimizing the Assembly Process with Cure-On-Demand UV/Visible Light-Curable Adhesives Optimizing the Assembly Process with Cure-On-Demand UV/Visible Light-Curable Adhesives Virginia P. Read Industrial Market Segment Manager DYMAX Corporation Torrington, CT www.dymax.com Introduction Manufacturers

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Step and Flash Imprint Lithography for sub-100nm Patterning

Step and Flash Imprint Lithography for sub-100nm Patterning Step and Flash Imprint Lithography for sub-100nm Patterning Matthew Colburn, Annette Grot, Marie Amistoso, Byung Jin Choi, Todd Bailey, John Ekerdt, S.V. Sreenivasan, James Hollenhorst, C. Grant Willson

More information

Soft-lithography for Preparing Patterned Liquid Crystal Orientations

Soft-lithography for Preparing Patterned Liquid Crystal Orientations 2007 KIDS Soft-lithography for Preparing Patterned Liquid Crystal Orientations Hak-Rin Kim **a, Jong-Wook Jung **a, Min-Soo Shin **a, Myung-Eun Kim a, You-Jin Lee **a, and Jae-Hoon Kim *b Abstract We demonstrate

More information

Micropatterning and casting PEDOT-PSS /DMSO layers

Micropatterning and casting PEDOT-PSS /DMSO layers Micropatterning and casting PEDOT-PSS /DMSO layers Benoît Charlot, Gilbert Sassine, Alexandra Garraud, Alain Giani, Philippe Combette IES Institut d Electronique du Sud CNRS Université Montpellier II Place&E.&Bataillon,&34095&Montpellier&7&France&

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 539-544 C 2017SPST Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Ichiro

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

Liquid Optically Clear Adhesive for Display Applications

Liquid Optically Clear Adhesive for Display Applications Liquid Optically Clear Adhesive for Display Applications Daniel Lu, PhD Technical Director Henkel Corporation LOCTITE Liquid Optically Clear Adhesives (LOCA) Cover lens LOCA Touch sensor LOCA LCD 2 LOCA

More information

How Aculon Surface Coatings are Enabling Medical Devices

How Aculon Surface Coatings are Enabling Medical Devices How Aculon Surface Coatings are Enabling Medical Devices AGENDA Overview Aculon surface treatment for medical devices Electronics Protection Repellency Hydrophilic Q&A OVERVIEW Aculon is a leading provider

More information

Uncrosslinked SU-8 as a sacrificial material

Uncrosslinked SU-8 as a sacrificial material INSTITUTE OFPHYSICS PUBLISHING JOURNAL OF MICROMECHANICS AND MICROENGINEERING J. Micromech. Microeng. 15 (2005) N1 N5 doi:10.1088/0960-1317/15/1/n01 TECHNICAL NOTE Uncrosslinked as a sacrificial material

More information

Features Conductive Room Temperature Cure. Product Ref IP 4525IP Low viscosity adhesive & coating. High thermal conductivity

Features Conductive Room Temperature Cure. Product Ref IP 4525IP Low viscosity adhesive & coating. High thermal conductivity Properties and Part Selection Overview Selection Table - Epoxy-Based Adhesive Properties Features Conductive Room Temperature Cure Product Ref 120 132 4461IP 42IP 438 700 Properties High electrical conductivity

More information

NTT-AT Optical Adhesives Lineup. Adhesives / Resins and Sealants for Optical Component Assembly

NTT-AT Optical Adhesives Lineup. Adhesives / Resins and Sealants for Optical Component Assembly NTT-AT Optical Adhesives Lineup Adhesives / Resins and Sealants for Optical Component Assembly The adhesive technology used in optical communications is one of the key technologies we offer. Ask us anything

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Gaetano L Episcopo. Introduction to MEMS

Gaetano L Episcopo. Introduction to MEMS Gaetano L Episcopo Introduction to MEMS What are MEMS? Micro Electro Mechanichal Systems MEMS are integrated devices, or systems of devices, with microscopic parts, such as: Mechanical Parts Electrical

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Bio-Microarray Fabrication Techniques A Review

Bio-Microarray Fabrication Techniques A Review Critical Reviews in Biotechnology, 26:237 259, 2006 Copyright c Informa Healthcare ISSN: 0738-8551 print / 1549-7801 online DOI: 10.1080/07388550600978358 Bio-Microarray Fabrication Techniques A Review

More information

Supporting informations

Supporting informations Supporting informations Microfluidic with integrated microfilter of conical-shaped holes for high efficiency and high purity capture of circulating tumor cells Yadong Tang 1+, Jian Shi 2+, Sisi Li 1, Li

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Three-Dimensional Molded Interconnect Devices (3D-MID)

Three-Dimensional Molded Interconnect Devices (3D-MID) Jörg Frank Three-Dimensional Molded Interconnect Devices (3D-MID) Materials, Manufacturing, Assembly and Applica ons for Injec on Molded Circuit Carriers Sample Pages ISBN 978-1-56990-551-7 HANSER Hanser

More information

Ceramic and glass technology

Ceramic and glass technology 1 Row materials preperation Plastic Raw materials preperation Solid raw materials preperation Aging wet milling mastication Mixing seving Grain size reduction Milling Crushing Very fine milling Fine milling

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Injection Molding LSR Parts with Micro and Nanostructured Surfaces. SmartManufacturingSeries.com

Injection Molding LSR Parts with Micro and Nanostructured Surfaces. SmartManufacturingSeries.com Injection Molding LSR Parts with Micro and Nanostructured Surfaces SmartManufacturingSeries.com Liquid Silicone Rubber (LSR) Two-component addition cure system Pt catalyst fast (30-600 s) cure Compared

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Supporting Information

Supporting Information Supporting Information Fabrication of Free-Standing, Self-aligned, High-Aspect-Ratio Synthetic Ommatidia Brian M. Jun, Francesca Serra, Yu Xia, Hong Suk Kang, and Shu Yang* Department of Materials Science

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules

Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules Hengyun Zhang*, Qingxin Zhang*, Ser-Choong Chong*, Damaruganath

More information

DATA SHEET A5000 RELEASE FILM

DATA SHEET A5000 RELEASE FILM A5000 RELEASE FILM HAWKEYE A5000 RELEASE FILM is a high temperature release film which lends itself readily to overcome bridging in contour layups. With more elongation than the HK3760 or nylon films,

More information

Microcontact Printing Procedures for Adhesive and Conductive Epoxies

Microcontact Printing Procedures for Adhesive and Conductive Epoxies Microcontact Printing Procedures for Adhesive and Conductive Epoxies This objective was accomplished through a formal record of the procedures to deliver a stamped product which met the benchmark mechanical,

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Development of Elastic Polylactic Acid Material Using Electron Beam Radiation

Development of Elastic Polylactic Acid Material Using Electron Beam Radiation ELECTRONICS Development of Elastic Polylactic Acid Material Using Electron Beam Radiation Shinichi KANAZAWA Sumitomo Electric Fine Polymer has developed a technology for fabricating a brand new elastic

More information

Step-By-Step Product Selection Guide

Step-By-Step Product Selection Guide Step-By-Step Product Selection Guide Silicone Moldmaking Materials Europe and Asia Edition If you re looking for an easy-to-use moldmaking material that will deliver consistently superior results, look

More information

PEC (Printed Electronic Circuit) process for LED interconnection

PEC (Printed Electronic Circuit) process for LED interconnection PEC (Printed Electronic Circuit) process for LED interconnection Higher wattage LED s/ power components or their placement in higher densities, requires a larger dissipation of heat in a more effective

More information

Nanocoating close to the market

Nanocoating close to the market Nanocoating close to the market Moritz Graf zu Eulenburg Introduction Market overview Overview of most important coating aspects Description of different coating systems Description of different drying

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

SCV Chapter, CPMT Society, IEEE September 14, Voids at Cu / Solder Interface and Their Effects on Solder Joint Reliability

SCV Chapter, CPMT Society, IEEE September 14, Voids at Cu / Solder Interface and Their Effects on Solder Joint Reliability Voids at / Solder Interface and Their Effects on Solder Joint Reliability Zequn Mei, Mudasir Ahmad, Mason Hu, Gnyaneshwar Ramakrishna Manufacturing Technology Group Cisco Systems, Inc. Acknowledgement:

More information

ABSTRACT: INTRODUCTION:

ABSTRACT: INTRODUCTION: ABSTRACT: Nano-Composite Polymer Optical Coatings Tom Faris Vampire Optical Coatings, Inc. P.O. Box 240 Kirkersville, Ohio 43033 (740)-927-5257 f(740)-927-5032 vampirecoatings@earthlink.net Traditionally

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

"ITO Film Trend for Touch Panel Applications"

ITO Film Trend for Touch Panel Applications AIMCAL Web Coating Conference 2011 October 23-26 Reno, Nevada USA 1 "ITO Film Trend for Touch Panel Applications" Teijin Chemicals Ltd. Haruhiko Itoh 2 Content 1. Structure and Features of TCF 2. Touch

More information

TECHNICAL DATA SHEET 1 P a g e Revised January 9, 2014

TECHNICAL DATA SHEET 1 P a g e Revised January 9, 2014 1 P age Revised January 9, 2014 TAIYO PSR-4000 CC01SE (UL Name: PSR-4000JV / CA-40JV) LIQUID PHOTOIMAGEABLE CURTAIN COAT SOLDER MASK Curtain Coat Application Aqueous Developing Solder Mask RoHS Compliant

More information

Enhanced Response from Engineered Nano Treated Peizofilm

Enhanced Response from Engineered Nano Treated Peizofilm Enhanced Response from Engineered Nano Treated Peizofilm By Sai Narayan Sundar Advisor Dr J.P Sharma Dept. of Mechanical Engineering University of Mississippi i: flow of current due to piezo deformation

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Towards scalable fabrication of high efficiency polymer solar cells

Towards scalable fabrication of high efficiency polymer solar cells Towards scalable fabrication of high efficiency polymer solar cells Hui Joon Park 2*, Myung-Gyu Kang 1**, Se Hyun Ahn 3, Moon Kyu Kang 1, and L. Jay Guo 1,2,3 1 Department of Electrical Engineering and

More information

Hitachi Anisotropic Conductive Film ANISOLM AC-7106U

Hitachi Anisotropic Conductive Film ANISOLM AC-7106U HITACHI CHEMICAL DATA SHEET Hitachi Anisotropic Conductive Film ANISOLM AC-7106U 1. Standard Specification, Bonding and Storage Conditions, Reparability, and Characteristics... 1 Page 2. Precautions in

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Hybrid high refractive index polymer coatings

Hybrid high refractive index polymer coatings Hybrid high refractive index polymer coatings Yubao Wang, Tony Flaim, Ramil Mercado, Shelly Fowler, Doug Holmes, and Curtis Planje Brewer Science, Inc., 2401 Brewer Dr., Rolla, M 65401 ABSTRACT Thermally

More information

Packaging Commercial CMOS Chips for Lab on a Chip Integration

Packaging Commercial CMOS Chips for Lab on a Chip Integration Supporting Information for Packaging Commercial CMOS Chips for Lab on a Chip Integration by Timir Datta-Chaudhuri, Pamela Abshire, and Elisabeth Smela Biocompatibility Although the supplier s instructions

More information

Micro Injection Molding of Micro Fluidic Platform

Micro Injection Molding of Micro Fluidic Platform Micro Injection Molding of Micro Fluidic Platform S. C. Chen, J. A. Chang, Y. J. Chang and S. W. Chau Department of Mechanical Engineering, Chung Yuan University, Taiwan, ROC Abstract In this study, micro

More information

Introduction to MEMS

Introduction to MEMS Introduction to MEMS 520.487 Lecture Outline 1. Photolithography 2. Thermal Oxidation 3. Wet Etching 4. Dry Etching 5. Unconventional Microfabrication Photolithography Conventional Photoresists Typically

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Artificial Alveolar-Capillary Membrane on a Microchip

Artificial Alveolar-Capillary Membrane on a Microchip Artificial Alveolar-Capillary Membrane on a Microchip Keith Male Advisor: Dr. Richard Savage Materials Engineering Department California Polytechnic State University San Luis Obispo, California June 1,

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Polymer Pen Printing: A Tool for Studying 2D Enzymatic Lithography and Printing 3D Carbon Features

Polymer Pen Printing: A Tool for Studying 2D Enzymatic Lithography and Printing 3D Carbon Features City University of New York (CUNY) CUNY Academic Works Dissertations, Theses, and Capstone Projects Graduate Center 9-30-2015 Polymer Pen Printing: A Tool for Studying 2D Enzymatic Lithography and Printing

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY 16 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY Tsung-Han Yu, Shenq-Yih Luo,

More information

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory Nanofabrication Prof. Stephen Y. Chou Department of Electrical Engineering Princeton University 1 Acknowledgment Dr. Paul Fischer Dr. Yun Wang Dr. Jay Guo Dr. Peter Klauss Dr. Jim Wang Dr. Longtin He Dr.

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information