SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

Size: px
Start display at page:

Download "SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy"

Transcription

1 SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea

2 Introduction Recent ULSI Si devices : the transistor size smaller than 30 nm Energy devices : solar cell, power device For process development and failure analysis : structural observation at the atomic scale : compositional analysis at the nanometer scale or the atomic scale FE-(S)TEM techniques combined with EDS and EELS are ideal for nanoscale analyses of real patterned samples. : practical spatial resolution of EDS and EELS of 1 2 nm : possible to analyze in the Å scale by Cs-corrected STEM Further, in order to evaluate a junction in Si devices, investigation of 2D dopant profiles of both vertical and lateral is very important. P 2

3 Recent FE-(S)TEM FEG CL Cs-corrector EDS Biprism Stage Screen EELS P 3 STEM Resolution: ~80 pm

4 Interface Analysis of Epi-Si(111)/Y 2 O 3 /Pr 2 O 3 /Si(111) Heterostructures

5 Cross Sectional TEM SF Epi-Si SF Epi-Si Y 2 O 3 Pr 2 O 3 Y 2 O 3 Pr 2 O 3 Substrate Substrate 50 nm 50 nm P 5

6 Cross Sectional TEM : Electron Diffraction Y 2 O 3 (666) Si (333) Si (422) Y 2 O 3 (466) Y 2 O 3 (655) Y 2 O 3 (266) Y 2 O 3 (455) Y 2 O 3 (644) Si (133) Y Y 2 O 3 (255) 2 O 3 (066) Si (222)+Y 2 O 3 (444) Y Si (311) 2 O 3 (055) Y 2 O 3 (244) Y 2 O 3 (433) Y 2 O 3 (633) Y 2 O 3 (044) Y 2 O 3 (233) Y 2 O 3 (422) Y 2 O 3 (033) Si (111)+Y 2 O 3 (222) Si (200) Y 2 O 3 (022) Y 2 O 3 (211) Y 2 O 3 (011) Pr 2 O 3 (202) T Y 2 O 3 (200) Pr 2 O 3 (301) P 6

7 Cross Sectional HRTEM Si (200) Si (111) Si (200) Epi-Si Si (111) Si (111) Y 2 O 3 Y 2 O 3 (222) Y 2 O 3 (211) Y 2 O 3 (200) Y 2 O 3 (011) Pr 2 O 3 A-IF P 7 Substrate Si (111) Si (200) Si (111)

8 Cross Sectional HR-STEM (a) Epi-Si BF-STEM (b) Epi-Si ADF-STEM 3 Y 2 O 3 Y 2 O 3 2 Pr 2 O 3 Pr 2 O 3 S I-O 1 S I-O Substrate Substrate 10 nm P 8

9 ADF-STEM/EELS of Interface Region : Pr 2 O 3 /Si (111) Intensity [ 10 3 ] Intensity [ 10 3 ] (a) 8 (b) O-K Pr 2 O 3 Thickness of Interfacial Oxide : ~1 nm S I-O Sub. 6 4 Pr-silicate 2 Interfacial Oxide 2 nm (c) Si-L 1 Si Substrate (d) Energy Loss (ev) Interfacial Pr-silicate : By the direct chemical Pr-N reaction 4,5 between the Pr 2 O 3 and interfacial Si suboxide layer during post thermal process Si-L 2,3 Si-L 1 Interfacial Oxide Si-L 2,3 Pr 2 O 3 Pr-silicate 0 0 P Energy Loss (ev) Energy Loss (ev)

10 In Situ XPS/ADF-STEM of Interface Region : Y 2 O 3 /Pr 2 O 3 Normalized Y 3d (squares) and Pr 3d 5/2 (triangles) XPS intensity vs Y 2 O 3 layer thickness Pr x Y z O 3 mixed layer Pr 2 O 3 Bright Contrast Pr x Y z O 3 Changing Contrast Y 2 O 3 Dark Contrast P 10

11 Intensity [ 10 3 ] ADF-STEM/EELS of Interface Region : Epi-Si(111)/Y 2 O 3 (a) 150 (b) 120 Epi-Si Si-L 1 90 Epi-Si Y 2 O nm Si-L 2,3 Si-L 2,3 Si-L 1 Interfacial Oxide Y-silicate Y-M 4, P 11 It is not clear if there is a distinct interfacial layer at the boundary Energy Loss (ev) It seems that the Y-silicate and the interfacial oxide were initially formed with a total thickness of 1.2 nm when epitaxial Si was deposited on the Y 2 O 3 (111) surface, but the quantity of Si diffusion into the Y 2 O 3 layer surface was insufficient to result in an amorphization reaction towards a true Y-silicate interface layer.

12 Summary Epi-Si(111) Interfacial Oxide + Y-silicate Layer, ~1.2 nm Deficient Y-silicate Layer without an amorphization reaction Y 2 O 3 Pr 2 O 3 Amorphous Pr-silicate, >2 nm Interfacial Oxide, ~1 nm Si(111) Substrate Although the atomistic processes of the interface reactions differ, epi-si(111)/y 2 O 3 and the Pr 2 O 3 /Si(111) boundaries exhibit a similar bi-layer morphology, consisting of an interfacial Si suboxide in combination with a metal silicate layer. P 12

13 Nanoanalysis of the Poly-Si/Si Substrate Contact Surface

14 Analysis of the Poly-Si/Si Substrate Contact Surface As smaller DRAM design rule, contact resistance (Rc) of real cells became more critical. Generally, from the viewpoint of patterning process, Rc is mainly determined by both the effective contact area and the cleanness of contact surface. Commercial DRAM CELL STRUCTURE BL Plug W/L W/L W/L W/L FOX CAP FOX 1 SN_SNC 2 SNC_LPC 3 LPC_N- 4 BLC_LPC P 14

15 HRTEM + EDS + Poly-Si/Si Contact Bulk Plasma Residual oxide with C, F Original surface Perfect Crystal Sub. Si loss Normal Fail P 15

16 HRTEM + EDS + Poly-Si/Si Contact 불량 No LET Si contact interface in ULSI device EELS, Chemical state of oxide P 16

17 Nanoanalysis of the Metal/Barrier Metal Interface

18 Analysis of the Metal/Barrier Metal Interface Al Al Metallization system of Al alloys/ti or TiN film : Ti or TiN films improve the reliability of the Al films by increasing the resistance against electro-migration in the films. : This migration is related to the texture of the Al films and to the formation of intermetallic compound layers at the interface between the Al and Ti or TiN films during heat treatment. : In order to develop improved metallization systems, a better understanding of the interfacial reactions is very important. In this study, solid-phase reactions at the Al-Si- Cu/Ti interface were precisely investigated at extremely high resolution by HRTEM and EDS combined with FE-(S)TEM. P 18 Nanoscale analysis on interfacial reactions in Al-Si-Cu alloys and Ti underlayer films

19 HRTEM + Al-Si-Cu/Ti film Al Al-Si-Cu/Ti film : Metallization in ULSI device A: TiAl 3 (112) (200) Al TiAl 3 B: Intermediate C 8.58A Ti 3 nm Al Ti Al A C 4.05A 3.85A (111) (200) (111)Al // (112)TiAl 3, [011]Al // [021]TiAl 3 Lattice mismatch: 4.9% along the a-direction 5.9% along the c-direction P 19

20 HRTEM + STEM + Al-Si-Cu/Ti film P 20 Analysis Method - EDS map - Intensity profile, - k-factor correction - Quantitative analysis Results A 층의조성 Ti:Al:Si=1:3:1 C 층의조성 Ti:Si=1:1

21 2D Dopant Profile Measurement by Electron Microscopy Techniques

22 2D Dopant Profiling Techniques Investigation of junction profile in semiconductor devices is necessary to evaluate their electrical characteristics. Generally, 1D dopant profile can be obtained from SIMS and the 1D carrier profile can be derived from SRP. Owing to continuous shrinkage of n + (As) WSix Poly-Si Channel n + (As) LDD semiconductor devices, investigation of 2D junction profiles of both vertical and lateral became p- well (B) very important with real patterned samples. Si substrate So, various analysis techniques have been developed to obtain 2D information for junction profiles. In this presentation, we show chemical etching, SCM and LV-SEM techniques for 2D dopant profiling. P 22

23 Junction Delineation by Chemical Etching Technique P 23 Junction delineated SEM image of the n-mos region after the RTA treatment. (a) and (b) were obtained by dipping for 1 s and 5 s in the solution of HF:HNO 3 = 1.5:200, respectively. (a) Cross-sectional TEM image obtained in the n-mos region. (b) Junction delineated TEM image of (a). Delineation was carried out for 3 s with the solution of HF:HNO 3 = 1.5:200.

24 2D Dopant Profiling of MOSFETs by LV-SEM p-mosfet Power MOSFET Gate n - epi p + p + n - well 300 nm p - sub 50 μm n + n + p - base n + p - base n + n - epi 3 μm n - epi 5 μm P 24

25 Thank you for your attention!

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

The Significance of Bragg s Law in Electron Diffraction and Microscopy and Bragg s Second Law

The Significance of Bragg s Law in Electron Diffraction and Microscopy and Bragg s Second Law The Significance of Bragg s Law in Electron Diffraction and Microscopy and Bragg s Second Law Colin Humphreys University of Cambridge Bragg Symposium Adelaide 6 December 2012 Bragg s Second Law E. W.

More information

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 based devices. (a) TEM image of the conducting filament in a SiO 2 based memory device used for SAED analysis. (b)

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Conventional TEM. N o r t h w e s t e r n U n i v e r s i t y - M a t e r i a l s S c i e n c e

Conventional TEM. N o r t h w e s t e r n U n i v e r s i t y - M a t e r i a l s S c i e n c e Conventional TEM STEM N o r t h w e s t e r n U n i v e r s i t y - M a t e r i a l s S c i e n c e Reciprocity 1 1 C CCCCCCCC(2ππππππ. rr) CCCCCC(2ππππππ. rr) Reciprocity 2 1 C+D CC(gg)CCCCCC(2ππππππ.

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices International Conference on Characterization and Metrology for ULSI Technology March 15-18, 2005 Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices Yoshi Senzaki, Kisik

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

p. 57 p. 89 p. 97 p. 119

p. 57 p. 89 p. 97 p. 119 Preface Program Committee Members Transistor Physics History John Bardeen and Transistor Physics p. 3 Challenges p. xiii p. xv Technology in the Internet Era p. 33 Metrology Needs and Challenges for the

More information

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate H. Park, M. Chang, H. Yang, M. S. Rahman, M. Cho, B.H. Lee*, R. Choi*,

More information

Effects of Lead on Tin Whisker Elimination

Effects of Lead on Tin Whisker Elimination Effects of Lead on Tin Whisker Elimination Wan Zhang and Felix Schwager Rohm and Haas Electronic Materials Lucerne, Switzerland inemi Tin Whisker Workshop at ECTC 0 May 30, 2006, in San Diego, CA Efforts

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Low Resistance TiAl Ohmic Contacts with Multi-Layered Structure for p-type 4H SiC

Low Resistance TiAl Ohmic Contacts with Multi-Layered Structure for p-type 4H SiC Materials Transactions, Vol. 43, No. 7 (2002) pp. 1684 to 1688 c 2002 The Japan Institute of Metals Low Resistance TiAl Ohmic Contacts with Multi-Layered Structure for p-type 4H SiC Osamu Nakatsuka 1,,

More information

Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures

Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.277/oa1319 Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures WOJCIECH MACHERZYŃSKI *, KORNELIA INDYKIEWICZ, BOGDAN PASZKIEWICZ

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

2. High Efficiency Crystalline Si Solar Cells

2. High Efficiency Crystalline Si Solar Cells 2 High Efficiency Crystalline Si Solar Cells Students: Karthick Murukesan, Sandeep S S, Meenakshi Bhaisare, Bandana Singha, Kalaivani S and Ketan Warikoo Faculty members: Anil Kottantharayil, B M Arora,

More information

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys Chapter 5 Epitaxial Growth of Si 1-y C y Alloys 5.1 Introduction Traditionally, the incorporation of substitutional carbon into silicon and silicongermanium alloys during growth is of great interest for

More information

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS Badgap Engineering: Precise Control of Emission Wavelength Wavelength Division Multiplexing Fiber Transmission Window Optical Amplification Spectrum Design and Fabrication of emitters and detectors Composition

More information

Transmission Kikuchi Diffraction in the Scanning Electron Microscope

Transmission Kikuchi Diffraction in the Scanning Electron Microscope Transmission Kikuchi Diffraction in the Scanning Electron Microscope Robert Keller, Roy Geiss, Katherine Rice National Institute of Standards and Technology Nanoscale Reliability Group Boulder, Colorado

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Kinematical theory of contrast

Kinematical theory of contrast Kinematical theory of contrast Image interpretation in the EM the known distribution of the direct and/or diffracted beam on the lower surface of the crystal The image on the screen of an EM = the enlarged

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece.

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece. EBSD Marco Cantoni 021/693.48.16 Centre Interdisciplinaire de Microscopie Electronique CIME EBSD Basics Quantitative, general microstructural characterization in the SEM Orientation measurements, phase

More information

Recrystallization in CdTe/CdS

Recrystallization in CdTe/CdS Thin Solid Films 361±362 (2000) 420±425 www.elsevier.com/locate/tsf Recrystallization in CdTe/CdS A. Romeo, D.L. BaÈtzner, H. Zogg, A.N. Tiwari* Thin Film Physics Group, Institute of Quantum Electronics,

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

DIFFERENT MECHANISMS FOR SYNTHESIS OF NANOWIRES AND THEIR APPLICATIONS

DIFFERENT MECHANISMS FOR SYNTHESIS OF NANOWIRES AND THEIR APPLICATIONS DIFFERENT MECHANISMS FOR SYNTHESIS OF NANOWIRES AND THEIR APPLICATIONS Abstract: The role of one-dimensional nanostructures has gained immense importance in recent times. These types of nanowires and nanorods

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Masanori Shirai*, Satoru Takazawa*, Satoru Ishibashi*, Tadashi Masuda* As flat-screen TVs become larger and their

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Materials Science for Achieving Green Innovation Thermoelectric Modules that Exploit Unutilized Heat for Revolutionizing Energy Conversion

Materials Science for Achieving Green Innovation Thermoelectric Modules that Exploit Unutilized Heat for Revolutionizing Energy Conversion FEATURED ARTICLES Taking on Future Social Issues through Open Innovation Materials Science for Achieving Green Innovation Thermoelectric Modules that Exploit Unutilized Heat for Revolutionizing Energy

More information

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE W.L. Sarney 1, L. Salamanca-Riba 1, V. Ramachandran 2, R.M Feenstra 2, D.W. Greve 3 1 Dept. of Materials & Nuclear Engineering,

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Production of PV cells

Production of PV cells Production of PV cells MWp 1400 1200 Average market growth 1981-2003: 32% 2004: 67% 1000 800 600 400 200 0 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 rest 1.0 1.0 1.0 2.0 4.0

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS Surface Review and Letters, Vol. 8, No. 5 (2001) 521 526 c World Scientific Publishing Company RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS S. J. WANG,

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

GaAs nanowires with oxidation-proof arsenic capping for the growth of epitaxial shell

GaAs nanowires with oxidation-proof arsenic capping for the growth of epitaxial shell Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Supplementary information GaAs nanowires with oxidation-proof arsenic capping for the growth of

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Transmission Electron Microscopy. J.G. Wen, C.H. Lei, M. Marshall W. Swiech, J. Mabon, I. Petrov

Transmission Electron Microscopy. J.G. Wen, C.H. Lei, M. Marshall W. Swiech, J. Mabon, I. Petrov Advanced Materials Characterization Workshop Transmission Electron Microscopy J.G. Wen, C.H. Lei, M. Marshall W. Swiech, J. Mabon, I. Petrov Supported by the U.S. Department of Energy under grants DEFG02-07-ER46453

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Polycrystalline CdS/CdTe solar cells

Polycrystalline CdS/CdTe solar cells Polycrystalline CdS/CdTe solar cells Al Compaan Distinguished University Professor of Physics, Emeritus (Lecture for Heben/Ellingson solar cells class) March 3, 2011 1 Absorption spectra of various semiconductors

More information

INVESTIGATION OF ANTIPHASE DOMAIN BOUNDARY ENERGETICS IN GAAS-ON-SI(001)

INVESTIGATION OF ANTIPHASE DOMAIN BOUNDARY ENERGETICS IN GAAS-ON-SI(001) INVESTIGATION OF ANTIPHASE DOMAIN BOUNDARY ENERGETICS IN GAAS-ON-SI(001) By CALEB SHUAN CHIA BARRETT A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole University of Groningen The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you

More information

Corrosion Protect DLC Coating on Steel and Hastelloy

Corrosion Protect DLC Coating on Steel and Hastelloy Materials Transactions, Vol. 49, No. 6 (2008) pp. 1333 to 1337 #2008 The Japan Institute of Metals Corrosion Protect DLC Coating on Steel and Hastelloy Hironobu Miya and Jie Wang Semiconductor Equipment

More information

Ex-situ Ohmic Contacts to n-ingaas

Ex-situ Ohmic Contacts to n-ingaas High Doping Effects on In-situ and Ex-situ Ohmic Contacts to n-ingaas Ashish Baraskar*, Mark A. Wistey, Vibhor Jain, Uttam Singisetti, Greg Burek, Brian J. Thibeault, Arthur C. Gossard and Mark J. W. Rodwell

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Focused Ion Beam CENTRE INTERDISCIPLINAIRE DE MICROSCOPIE ELECTRONIQUE. Marco Cantoni, EPFL-CIME CIME ASSEMBLEE GENERALE 2007

Focused Ion Beam CENTRE INTERDISCIPLINAIRE DE MICROSCOPIE ELECTRONIQUE. Marco Cantoni, EPFL-CIME CIME ASSEMBLEE GENERALE 2007 Focused Ion Beam @ CENTRE INTERDISCIPLINAIRE DE MICROSCOPIE ELECTRONIQUE Present situation and vision Marco Cantoni, EPFL-CIME Dual Beam Nova 600 Nanolab from FEI Company FE-SEM & FIB: Ga LMIS 4 Gas Injector

More information

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscope A transmission electron microscope, similar to a transmission light microscope, has the following components along

More information

THIN IMMERSION TIN USING ORGANIC METALS

THIN IMMERSION TIN USING ORGANIC METALS THIN IMMERSION TIN USING ORGANIC METALS Jim Kenny, Nils Arendt, Bernhard Wessling, and Karl Wengenroth Enthone Inc., A Business of Cookson Electronics West Haven, CT, USA ABSTRACT With the international

More information

EPITAXY extended single-crystal film formation on top of a crystalline substrate. Homoepitaxy (Si on Si) Heteroepitaxy (AlAs on GaAs)

EPITAXY extended single-crystal film formation on top of a crystalline substrate. Homoepitaxy (Si on Si) Heteroepitaxy (AlAs on GaAs) extended single-crystal film formation on top of a crystalline substrate Homoepitaxy (Si on Si) Heteroepitaxy (AlAs on GaAs) optoelectronic devices (GaInN) high-frequency wireless communication devices

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Nanocrystalline structure and Mechanical Properties of Vapor Quenched Al-Zr-Fe Alloy Sheets Prepared by Electron-Beam Deposition

Nanocrystalline structure and Mechanical Properties of Vapor Quenched Al-Zr-Fe Alloy Sheets Prepared by Electron-Beam Deposition Materials Transactions, Vol. 44, No. 10 (2003) pp. 1948 to 1954 Special Issue on Nano-Hetero Structures in Advanced Metallic Materials #2003 The Japan Institute of Metals Nanocrystalline structure and

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

What if your diffractometer aligned itself?

What if your diffractometer aligned itself? Ultima IV Perhaps the greatest challenge facing X-ray diffractometer users today is how to minimize time and effort spent on reconfiguring of the system for different applications. Wade Adams, Ph.D., Director,

More information

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers 2nd. Annual c-si PVMC Workshop at Intersolar NA, San Francisco, CA, July 2013 1 Microns Kerf! Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

More information

Copyright by. Puneet Kohli

Copyright by. Puneet Kohli Copyright by Puneet Kohli 2003 The Dissertation Committee for Puneet Kohli Certifies that this is the approved version of the following dissertation: Fundamental Understanding of the Physics and Modeling

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Analysis of the Intermetallic Compound Formed in Hot Dip Aluminized Steel

Analysis of the Intermetallic Compound Formed in Hot Dip Aluminized Steel Advanced Materials Research Vols. 15-17 (2007) pp. 159-163 online at http://www.scientific.net (2007) Trans Tech Publications, Switzerland Analysis of the Intermetallic Compound Formed in Hot Dip Aluminized

More information

Studies of the AZ91 magnesium alloy / SiO 2. - coated carbon fibres composite microstructure. IOP Conference Series: Materials Science and Engineering

Studies of the AZ91 magnesium alloy / SiO 2. - coated carbon fibres composite microstructure. IOP Conference Series: Materials Science and Engineering IOP Conference Series: Materials Science and Engineering Studies of the AZ91 magnesium alloy / SiO 2 - coated carbon fibres composite microstructure To cite this article: A Olszówka-Myalska and A Botor-Probierz

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Analysis of plating grain size effect on whisker

Analysis of plating grain size effect on whisker Journal of Mechanical Science and Technology 23 (2009) 2885~2890 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-009-0720-x Analysis of plating grain

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Specimen configuration

Specimen configuration APPLICATIONNOTE Model 1040 NanoMill TEM specimen preparation system Specimen configuration Preparing focused ion beam (FIB) milled specimens for submission to Fischione Instruments. The Model 1040 NanoMill

More information

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers MODEL 1080 PicoMill TEM specimen preparation system Combines an ultra-low energy, inert gas ion source, and a scanning electron column with multiple detectors to yield optimal TEM specimens. POST-FIB PROCESSING

More information

Study of Phase Evolution in Sputtered Al/Ru Bi-layers Nanocrystalline Thin Films

Study of Phase Evolution in Sputtered Al/Ru Bi-layers Nanocrystalline Thin Films Egypt. J. Solids, Vol. (32), No. (1), (2009 ) 89 Study of Phase Evolution in Sputtered / Bi-layers Nanocrystalline Thin Films I. K. El Zawawi 1, E.M. Abdelrazek 2, F. A. Saadallah 1, B. Mansour 1, M. Ebrahim

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump

Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Materials Transactions, Vol. 43, No. 2 (22) pp. 3234 to 3238 c 22 The Japan Institute of Metals Aging Treatment Characteristics of Shear Strength in Micro Solder Bump Chong-Hee Yu, Kyung-Seob Kim 2, Yong-Bin

More information

Piezoresistance in Silicon. Dr. Lynn Fuller Webpage:

Piezoresistance in Silicon. Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Piezoresistance in Silicon Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information