Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Size: px
Start display at page:

Download "Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing"

Transcription

1 I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril Bellegarde 1, Corrado Sciancalepore, Camille Petit-Etienne 1, Marc Fouchier 1, Vincent Hughes, Philippe Lyan, Jean-Michel Hartmann 1 CNRS-LTM, Univ. Grenoble Alpes CEA-LETI, Grenoble Workshop IRT, Paris

2 Advanced Silicon photonic integrated circuit High performance Si photonics platform on advanced CMOS technology is a key to produce low-power integrated photonic chips for the optical interconnect in data transmission Requirements: Hybrid III-V/silicon Photonic integrated circuit Ultra-low-power active devices integrated on SOI substrate Ultra-low-loss passive devices elaborated in SOI substrate Goal: achieve ultra low-loss silicon waveguides Si patterning has a key role

3 Optical losses in Si/SiO Waveguide Waveguide architecture Loss by roughness scattering 310*360 cross-section waveguide on 800nm thick SiO BOX , ξ = cste α (db/cm) SOI substrate: Si 310nm /BOX 800nm Sources of optical loss: Absorption in bulk Substrate leakage Non-linear effects Scattering by sidewalls roughness Width (um) Width α= ( ξ,,β) With: = roughness amplitude ξ = correlation length β = modal propagation constant 4 from F. P. Payne, J. P. R. Lacey, Optical and Quantum Electronics 6, 977 (1994)

4 Rib and Strip Waveguides Strip Si/SiO waveguide Rib Si/SiO waveguide Si 310 nm Si 150 nm BOX 800 nm BOX 800 nm Si Substrate Si Substrate More confined mode Strong interaction with sidewalls High scattering loss How to achieve low scattering loss? FromPayne and Laceysmodel: α<1 db/cm if σ<0.3 nmfor any ξ State of the art Si roughness: σ= 1nm (immersion 193nm lithography) ξ=0nm Payne and lacey: α=5.4 db/cm Littérature: 1.3 db/cm Horikawa et al., MRS Communication, vol. 6, 015 Less confined mode Less interaction with sidewalls Lower scattering loss STRIP case, Width=350nm, λ=1310nm 0.3 nm

5 Roughness transfer during silicon patterning The significant sidewalls roughness of Photoresist pattern after lithography is transferred into the silicon during the subsequent plasma etching process 193nm resist after lithography Silicon after plasma etching Roughness transfer LER (=3σ): after lithography : 4.3 nm Si LER : 4.3 nm Minimize the resist mask roughness before pattern transfer by introducing post-lithography resist treatments Reduce the Si roughness by post-etching treatment H thermal annealing

6 Roughness metrology PSD CD-SEM Top view roughness measurement: Average roughness along the pattern height y N 1 = σ réel πn m= N + 1 e Power spectrum density (nm 3 ) m y α ξ cos( k n y m y)( N m ) + σ π Spectral analysis of the roughness Estimation of the roughness parameter: amplitude (σ) and correlation length (ξ) 10 1 Self affine fractal function ξ 0.1 1E Wavenumber k (nm -1 ) bruit White noise Echantillon Angle variable AFM on tilted sample Axe de rotation Roughness estimation all along the pattern heigth Line edge roughness (LER) =3σ 6

7 Process flow Waveguide patterning by top-down approach in ICP reactor Strip Waveguide finishing -HM deposition - nd Lithography - HM + Si etching - Oxide deposition 1.1µm RIB Optical Optical Losses α (db/cm) H thermal annealing

8 H annealing post-etching treatments: Strip waveguide Thermal annealing under H ambient leads to surface atomic migration Si reflow Rounded and swelled waveguides but dimension respected Without H annealing With H annealing LER (nm) Roughness smoothening Without H annealing 8% LER decrease 0.75 With H annealing σ=0.5nm PSD LER (nm 3 ) ξ >50nm Without H annealing With H annealing 1E Wavenumber k (nm -1 ) 850 C / 0 Torr / min ξ =17nm Significant roughness decrease while maintaining acceptable guide profile

9 Impact of H annealing on optical losses in Strip waveguides α (db/cm) Optical 1310nm db/cm Without H annealing With H annealing Waveguide width (nm) α(db/cm) Experimental losses vs calculated scattering losses (with Payne and Laceys model) High roughness case (without H annealing) Loss in bend Simulated scatetring loss Experimental loss Waveguide width (nm) Scattering loss : main cause of propagation loss (except below 300nm) Low roughness case (with H annealing) 80% loss reduction 1dB/cm target is achieved when CD>380 nm nm α(db/cm) Simulated scatetring loss Experimental loss Experimental loss> calculated scattering loss Other sources of losses (substrate leakage, defect at Si/SiO interface) become non negligible Waveguide width (nm)

10 H annealing post-etching treatments : Strip vs RIB 850 C / 0 Torr / min Strip Rib Severe reflow of the RIB structure Roughness metrology issue by CD-SEM AFM measurements The presence of the SiO / Si interface in the strip case limits the Si reflow: H annealing conditions have to be adapted to RIB structure Compromise to be found between roughness reduction and shape conservation

11 Hydrogen annealing: Temperature Impact Profile and roughness Annealing at 0 Torr 1min Optical 1310nm 1.5 θ = 87 LER =.6 nm θ = 83 LER = 1. nm θ =54 LER = 0.76 nm Optical losses (db/cm) Waveguide width (nm) No annealing 800 C/0 Torr/1 min 850 C/0 Torr/1 min 0.5 Increasing annealing temperature: Pattern Shape deformation Enhanced LER reduction Significant optical losses reduction with annealing temperature Pattern profile deformation 1 does not seem to be an issue for optical transmission

12 Hydrogen annealing: Pressure Impact Profile and roughness Annealing at 850 C, 1 min Optical 1310nm θ = 87 LER =.6 nm θ = 54 LER = 0.76 nm Increasing pressure θ =70 LER = 1 nm Optical losses (db/cm) Waveguide width (nm) No annealing 850 C/0 Torr/1 min 850 C/60 Torr/1 min Limit pattern Shape deformation and LER reduction Optical loss reduction follows LER reduction High pressure 1annealing conditions interesting in terms of shape preservation and optical results

13 Conclusion: Rib VS Strip Strip Waveguide Annealing at 850 C/0 Torr/ min Rib Waveguide Annealing at 800 C/0 Torr/8 min Annealing at 850 C/60T/1 min $% x 150# LER = 0.75 nm Losses (CD~436nm) = 0.8dB/cm LER = 1 nm Losses (CD~44nm) = 0.49dB/cm H annealing post-etching treatment shows interesting capabilities to decrease Si sidewalls roughness and thus reduce scattering optical losses Record low loss values could be obtained for Strip and Rib waveguides while maintaining acceptable profile and width dimension Find the optimal H annealing conditions to be applied to all Si components elaborated in the SOI substrate 18

14 InP laser etching in Cl /CH 4 /Ar Cl /CH 4 = 0.65 Cl /CH 4 = LER analysis by AFM LER= 13nm LER= 5nm Sidewalls stoechiometry analysis by Nanoauger In/P=1.15 In/P=1.6 ER= 800nm/min Selectivity InP/SiO = Top view Section Optical analyses by cathodoluminescence And DOP

15 Merci de votre attention

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform B. Szelag 1, K. Hassan 1, L. Adelmini 1, E. Ghegin 1,2, Ph. Rodriguez 1, S. Bensalem 1, F. Nemouchi 1,

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

nanosilicon Nanophotonics

nanosilicon Nanophotonics nanosilicon Nanophotonics Lorenzo Pavesi Universita di Trento Italy Outline Silicon Photonics NanoSilicon photonics Silicon Nanophotonics NanoSilicon Nanophotonics Conclusion Outline Silicon Photonics

More information

Formation of and Light Emission from Si nanocrystals Embedded in Amorphous Silicon Oxides

Formation of and Light Emission from Si nanocrystals Embedded in Amorphous Silicon Oxides 10.1149/1.2392914, copyright The Electrochemical Society Formation of and Light Emission from Si nanocrystals Embedded in Amorphous Silicon Oxides D. Comedi a, O. H. Y. Zalloum b, D. E. Blakie b, J. Wojcik

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Oxidized Silicon-On-Insulator (OxSOI) from bulk silicon: a new photonic platform

Oxidized Silicon-On-Insulator (OxSOI) from bulk silicon: a new photonic platform Oxidized Silicon-On-Insulator (OxSOI) from bulk silicon: a new photonic platform Nicolás Sherwood-Droz*, Alexander Gondarenko and Michal Lipson School of Electrical and Computer Engineering, Cornell University,

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Low-Loss Grating-Coupled Silicon Ridge Waveguides and Ring Resonators for Optical Gain at Telecommunication Frequencies

Low-Loss Grating-Coupled Silicon Ridge Waveguides and Ring Resonators for Optical Gain at Telecommunication Frequencies Low-Loss Grating-Coupled Silicon Ridge Waveguides and Ring Resonators for Optical Gain at Telecommunication Frequencies J. P. Balthasar Müller Science Institute, University of Iceland, Dunhaga 3, IS-107

More information

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS A. Valla, P. Carroy, F. Ozanne, G. Rodriguez & D. Muñoz 1 OVERVIEW Description of amorphous / crystalline

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

ADOPT Winter School Merging silicon photonics and plasmonics

ADOPT Winter School Merging silicon photonics and plasmonics ADOPT Winter School 2014 Merging silicon photonics and plasmonics Prof. Min Qiu Optics and Photonics, Royal Institute of Technology, Sweden and Optical Engineering, Zhejiang University, China Contents

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Advances in Intense Pulsed Light Solutions For Display Manufacturing XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Talk Outline Introduction to Pulsed Light Applications in Display UV Curing Applications

More information

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS Badgap Engineering: Precise Control of Emission Wavelength Wavelength Division Multiplexing Fiber Transmission Window Optical Amplification Spectrum Design and Fabrication of emitters and detectors Composition

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Poster FVS Workshop 2002 Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Texture etching of sputtered ZnO:Al films has opened up a variety of possibilities

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements G. A. Cirino a, P. Verdonck a, R. D. Mansano a, L. G. Neto b a LSI-PEE-EPUSP

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Nanophotonics: principle and application. Khai Q. Le Lecture 11 Optical biosensors

Nanophotonics: principle and application. Khai Q. Le Lecture 11 Optical biosensors Nanophotonics: principle and application Khai Q. Le Lecture 11 Optical biosensors Outline Biosensors: Introduction Optical Biosensors Label-Free Biosensor: Ringresonator Theory Measurements: Bulk sensing

More information

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. Supplementary Figures Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. (a-d) The optical images of three BP flakes on a SiO 2 substrate before (a,b) and after annealing (c,d) at

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Optical interconnect: a back end integration scheme for waveguides and optoelectronic InP components.

Optical interconnect: a back end integration scheme for waveguides and optoelectronic InP components. Optical interconnect: a back end integration scheme for waveguides and optoelectronic InP components. Fedeli J.M. b Jeannot S a.,jousseaume V. b, Di Cioccio L. b, Kostrzewa M. b Orobtchouk R. c, Maury

More information

Plasmonic Nanostructures II

Plasmonic Nanostructures II Plasmonic Nanostructures II Dr. Krüger / Prof. M. Zacharias, IMTEK, Propagation of SPPs Propagation distance decreases with decreasing strip width! 2 Dr. Krüger / Prof. M. Zacharias, IMTEK, Bound and leaky

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

Supplementary Figure 1 Scanning electron micrograph (SEM) of a groove-structured silicon substrate. The micropillars are ca. 10 μm wide, 20 μm high

Supplementary Figure 1 Scanning electron micrograph (SEM) of a groove-structured silicon substrate. The micropillars are ca. 10 μm wide, 20 μm high Supplementary Figure 1 Scanning electron micrograph (SEM) of a groove-structured silicon substrate. The micropillars are ca. 10 μm wide, 20 μm high and own the gap of 10 μm. Supplementary Figure 2 Strictly

More information

Optical Waveguides In BenzoCyclobutene (BCB ) Polymer

Optical Waveguides In BenzoCyclobutene (BCB ) Polymer Jurnal Teknologi, 53 September 2010: 49 56 Universiti Teknologi Malaysia Optical Waveguides In BenzoCyclobutene (BCB 4024-40) Polymer Mohd Haniff Ibrahim 1*, Norazan Mohd Kassim 2, Abu Bakar Mohammad 3,

More information

PROPERTIES AND CHARACTERIZATION OF DEEPLY-ETCHED, HIGH-INDEX- CONTRAST RIDGE WAVEGUIDE STRUCTURES. A Dissertation. Submitted to the Graduate School

PROPERTIES AND CHARACTERIZATION OF DEEPLY-ETCHED, HIGH-INDEX- CONTRAST RIDGE WAVEGUIDE STRUCTURES. A Dissertation. Submitted to the Graduate School PROPERTIES AND CHARACTERIZATION OF DEEPLY-ETCHED, HIGH-INDEX- CONTRAST RIDGE WAVEGUIDE STRUCTURES A Dissertation Submitted to the Graduate School of the University of Notre Dame in Partial Fulfillment

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

3D technologies for More Efficient Product Development

3D technologies for More Efficient Product Development 3D technologies for More Efficient Product Development H. Ribot, D. Bloch, S. Cheramy, Y. Lamy, P. Leduc, T. Signamarcheix, G. Simon Semicon Europa, TechArena II, 09 October 2013 Photonics in Product development:

More information

Tackling the optical interconnection challenge for the Integrated Photonics Revolution

Tackling the optical interconnection challenge for the Integrated Photonics Revolution Tackling the optical interconnection challenge for the Integrated Photonics Revolution Dr. Ir. TU Delft, Precision and Microsystems Engineering m.tichem@tudelft.nl Microfabrication and MEMS Si microfabrication

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Scale Effects in Freestanding Thin Metal Films for RF MEMS Applications

Scale Effects in Freestanding Thin Metal Films for RF MEMS Applications Eindhoven University of Technology Department of Mechanical Engineering Materials Technology Scale Effects in Freestanding Thin Metal Films for RF MEMS Applications Student: Zlata Jelacic Supervisors:

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2010 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

High-efficiency broad-bandwidth sub-wavelength grating based fibrechip coupler in SOI

High-efficiency broad-bandwidth sub-wavelength grating based fibrechip coupler in SOI High-efficiency broad-bandwidth sub-wavelength grating based fibrechip coupler in SOI Siddharth Nambiar a, Shankar K Selvaraja* a a Center for Nanoscience and Engineering (CeNSE), Indian Institute of Science

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Victor Usov, Graham Cross, Neal O Hara, Declan Scanlan, Sander Paulen, Chris de Ruijter, Daniel Vlasveld,

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Nanosilicon single-electron transistors and memory

Nanosilicon single-electron transistors and memory Nanosilicon single-electron transistors and memory Z. A. K. Durrani (1, 2) and H. Ahmed (3) (1) Electronic Devices and Materials Group, Engineering Department, University of Cambridge, Trumpington Street,

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age New S U B S TIdeas R A T E Sfor New Materials SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age Christophe Maleville and George Celler, Soitec Silicon-on-insulator (SOI) wafers are

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology Introduction to Thin Film Contents 1. Introduction and Application Examples (2h) 2. Preparation of Thin Films by PVD (Physical Vapor Deposition) (6h) 2.1 Vacuum Technique (1h) 2.1.1 Kinetics of Gases 2.1.2

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

IISW-2009 BSI technical challenges

IISW-2009 BSI technical challenges IISW-2009 BSI technical challenges Bergen. 25th June 2009 Outline BSI consumer vs BSI scientific BSI vs FSI Remaining challenges Charges collection BSI overlay challenges BSI laser annealing Thinning Process

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

TMAH texturisation and etching of interdigitated back-contact solar cells

TMAH texturisation and etching of interdigitated back-contact solar cells Materials Science-Poland, Vol. 24, No. 4, 2006 TMAH texturisation and etching of interdigitated back-contact solar cells P. PAPET, O. NICHIPORUK, A. FAVE, A. KAMINSKI *, B. BAZER-BACHI, M. LEMITI Laboratoire

More information

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2 Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 6 Through-wafer Interconnect EEL6935 Advanced MEMS 2005 H. Xie 1/21/2005 1 Motivations: Wafer-level packaging CMOS 3D Integration

More information

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM EOSS ENHANCED OPTICAL SPUTTERING SYSTEM Fraunhofer IST, Braunschweig Contact: Dr. M. Vergöhl +49 531 2155 640 EOSS Coating System

More information

Fs- Using Ultrafast Lasers to Add New Functionality to Glass

Fs- Using Ultrafast Lasers to Add New Functionality to Glass An IMI Video Reproduction of Invited Lectures from the 17th University Glass Conference Fs- Using Ultrafast Lasers to Add New Functionality to Glass Denise M. Krol University of California, Davis 17th

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers Anti-Reflection Custom Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition Anti-Reflection on Optical Fibers OptoSigma supplies a wide selection of optical

More information