Fabrication and Layout

Size: px
Start display at page:

Download "Fabrication and Layout"

Transcription

1 Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University

2 Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1, 3.2.1, Design Rules: W&E

3 What To Build Transistors nmos and pmos Wires Many levels of (real) metal wires (aluminum and copper) Need low resistance (high conductivity) Oxide insulators between metal layers Contacts (hole in the oxide) between adjacent layers

4 Silicon Semiconductor Conductivity changed by adding impurities Impurities, called dopants, create either n- type or p-type regions Oxide is stable SiO 2 (quarz or glass) Great for sealing things from impurities Can be selectively patterned Etching can remove SiO 2 without harming Si

5 Doping Adding arsenic or phosphorous to intrinsic silicon increases conductivity By adding free electrons n-type since current is carried by negatively charged particles (electrons) Adding boron to intrinsic silicon increases conductivity By adding free holes p-type since current is carried by positively charged particles

6 Diode Junction between n-type and p-type regions form a diode I n+ p+ p+ n V

7 How To Build Transistor Diffusion made by adding (diffusing) impurities into silicon n+ (p+) diffusion has lots of impurities (dopants), so higher conductivity p (n) regions lightly doped p region formed first; n+ doped over parts of p region n+ dopant added after poly is down so that poly blocks dopant poly n+ n+ p

8 Two Transistor Types CMOS requires two types of substrates for isolation of transistors n-type for pmos p-type for nmos s g d cross section n+ n+ p p+ p+ n Substrate = p Substrate = n

9 Well: Local Substrate Base wafer type may be n-type: add pwell / p-type: add nwell Some have twin well n+ p+ p n pwell process n substrate

10 Well Requirement Well must be tied to a power supply to keep isolation diode reversed biased Using well contacts (ohmic connection to the well) n+ n+ p p+ p+ n Tied to GND Tied to Vdd

11 Well Contacts Formed by placing p+ doped region in pwell (n+ region in nwell) These regions make good electrical contact to the well (ohmic, not diode) Well potential equal to the diffusion potential Need to have at least one well contact in each well

12 What s On A Chip: Review Transistors Require silicon substrate, wells, two types of diffusion, poly Wires Many levels of (real) metal wires Oxide insulator between metal layers Contacts between adjacent layers

13 Fabrication Masks Chips Wafers Processing Processed Wafer

14 Basic Fabrication Steps Transfer image of the design to wafer (photolithography) Create layers (diffusion/oxide/metal) Ion implant for diffusion; shoot impurities at silicon Deposition for oxide/metal; usually chemical vapor deposition (CVD) Grow for oxide; place silicon in oxidizing ambient

15 Basic Processing Start with wafer at current step Spin on a photoresist Pattern photoresist with mask Step specific processing etch, implant, etc... Wash off resist

16 IC Fabrication Repeat Create layer on wafer Put photo-sensitive material (resist) on top of wafer Optically project image of pattern on water Develop resist Use resist as mask to prevent etch from reaching layer below, when transferring pattern to layer Remove resist All die on wafer processed in parallel; for some chemical steps, many wafers processed in parallel

17 Photolithography To transfer pattern onto wafer, first need an image to project Glass plate (mask) with image of pattern etched in chrome generated from design database Mask = negative in photography Image optically projected onto wafer using projection aligner projection aligner = enlarger in photography Mask allows printing on large number of wafers Cost per wafer low, assuming lots of wafers

18 Making Transistors 1. Implant N-Well 2. Define thin oxide; grow field oxide 3. Etch poly

19 Making Transistors 4. Implant threshold adjust 5. Implant source and drain

20 Making Wires 1. Deposit insulator; may be polished to make it fit 2. Etch contacts to Si; fill with conductor 3. Pattern metal wires

21 Foundry Interface Designer Layout (Mask Set) Foundry Design Rules Process Parameters

22 MAGIC MOSIS SCMOS Layers 4 types of diffusion Normal (forms transistor) ndiff pdiff Diffusion for well contacts nohmic pohmic Poly Metal M1 M2

23 Physical and MAGIC Layers Physical Masks (simplified) nwell active area (thin ox) poly threshold adjust (n & p) implant select (n & p) contact metal 1 via metal 2 glass Magic Layers nwell ndiff (active & nselect & ~nwell) pdiff (active & pselect & nwell) nnd (active & nselect & nwell) ppd (active & pselect & ~nwell) poly metal1 metal2 contacts

24 Layer Example

25 MAGIC Contacts + + = ndc - ndiff to metal1 pdc - pdiff to metal1 ppc - ppd to metal1 nnc - nnd to metal1 pc - poly to metal1 via - metal1 to metal2

26 Contact Example

27 Fabrication Constraints On Layout Resolution constraints Smallest printable feature / smallest spacing that guarantees no short Depends on lithography and processing steps Resolution often depends on smoothness of surface Alignment/overlap constraints Need to align layers (like printing color picture)

28 Geometric Design Rules Resolution width and spacing of lines on one layer Alignment to make sure interacting layers overlap (or don t) contact surround poly overlap of diff well surround of diff contact spacing to unrelated geometry 3 3

29 MOSIS SCMOS Design Rules Allow you to send designs to different fabs Rules are based on λ - half the drawn gate length (poly width) All other design rules expressed in multiples of λ Poly width = 2λ, space = 3λ metal width = space = 3λ Conservative Manhattan layout (only 90 degree angles)

30 SCMOS Design Rule Highlights Resolution rules Layer Width Space poly 2 3 diff 3 3 m1 3 3 m2 3 4 nwell 10 9 cut 2 2 via 2 3 Alignment rules cut/via surround 1 poly overlap diff 2 poly space to diff 1 Notes: Cut plus surround is 4 Layout falls on 8λ grid

31 Pitch Repeat distance between objects 8λ contacted transistor pitch cut + poly width + 2 x cut-to-poly 6.5λ semi-contacted m1 pitch (contact + width)/2 + spacing 7.5λ semi-contacted m2 pitch (contact + width)/2 + spacing 7λ fully contacted m1 pitch contact + spacing 8λ fully contacted m2 pitch contact + spacing 8λ

32 Contact Rules Spacing from contacts is slightly larger than from base material Poly contact to poly spacing = 3λ Diffusion contact (ndc, pdc, nwc, pwc) to diffusion = 4λ So that the fab can make surround of contact cut slightly larger than 1λ if necessary

33 Magic Number 8 Most of the important rules for estimating the size of stick diagram can be approximated by 8λ (diff width =4) diff w/c = 8 M2 w/c = 8 8 M1 w/c = 7 poly w/dc = 8 poly w/c = 7

34 Stick Diagrams Like a layout Basic topology of the circuit Relative positions of objects roughly correct But Wires have no width Size of objects not to scale Missing wires can be squeezed in between two wires

35 Layout Issues Two types of diffusion ndiff poly crossing ndiff makes nmos transistor pdiff poly crossing pdiff makes pmos transistor Cannot directly connect ndiff and pdiff must connect ndiff to metal and metal to pdiff Cannot get ndiff too close to pdiff because of wells large spacing rule between ndiff and pdiff need to group nmos transistors together and pmos transistors together

36 Basic Layout Planning Need to route power and ground (in metal) Keep nmos devices near nmos devices and pmos devices near pmos devices nmos near ground and pmos near Vdd Run poly vertically and diffusion horizontally with m1 horizontally Keep diffusion wires as short as possible just enough to make transistors All long wires in m1 and m2

37 Typical Cell Layout Plan Parity Inverter Vdd Gnd

Lecture 2. Fabrication and Layout

Lecture 2. Fabrication and Layout Lecture 2 Fabrication and Layout Mark Horowitz Modified by Azita Emami Computer Systems Laboratory Stanford University azita@stanford.edu 1 Overview Reading W&E 3.1(scan), 3.2.1, 3.3.1 - Fabrication W&E

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Historical Development. Babbage s second computer. Before the digital age

Historical Development. Babbage s second computer. Before the digital age Historical Development To fully appreciate the computers of today, it is helpful to understand how things got the way they are The evolution of computing machinery has taken place over several centuries

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

THE MANUFACTURING PROCESS

THE MANUFACTURING PROCESS chapter2.fm Page 33 Monday, September 4, 2000 11:11 AM CHAPTER 2 THE MANUFACTURING PROCESS Overview of manufacturing process n Design rules n IC packaging n Future Trends in Integrated Circuit Technology

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

CMOS VLSI Design M.Tech. First semester VTU Anil V. Nandi, ECE department, BVBCET, Hubli

CMOS VLSI Design M.Tech. First semester VTU Anil V. Nandi, ECE department, BVBCET, Hubli CMOS VLSI Design M.Tech. First semester VTU Anil V. Nandi, ECE department, BVBCET, Hubli-580031. Contents: Semiconductor Technology overview Silicon Growth/Processing,Oxidation, Diffusion, Epitaxy, deposition,

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE Hwaiyu Geng Hewlett-Packard Company Palo Alto, California Lin Zhou Intel Corporation Hillsboro, Oregon 1.1 INTRODUCTION Over the past decades, an information

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder SLAC-TN-12-021 Design & Fabrication of a High-Voltage Photovoltaic Cell Jennifer Felder Office of Science, Science Undergraduate Laboratory Internship (SULI) North Carolina State University SLAC National

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

CVSR COLLEGE OF ENGINEERING, GHATKESAR. VLSI HANDBOOK CONTENTS

CVSR COLLEGE OF ENGINEERING, GHATKESAR. VLSI HANDBOOK CONTENTS CVSR COLLEGE OF ENGINEERING, GHATKESAR. SUBJECT : VLSI DESIGN FACULTY : D. Manjula Rani K.Ch.Prathap Kumar M. S. No. VLSI HANDBOOK CONTENTS Title 1 Syllabus 2 2 Lesson Plan 3 3 Course Objectives 4 4 Prerequisites

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Michael Aquilino M.S. Thesis Defense Department May 19, 2006 Motivation o Enable the department to continue

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Chapter 18: Electrical Properties

Chapter 18: Electrical Properties Chapter 18: Electrical Properties ISSUES TO ADDRESS... How are electrical conductance and resistance characterized? What are the physical phenomena that distinguish conductors, semiconductors, and insulators?

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O.

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O. LANDOLT-BÖRNSTEIN Zahlenwerte und Funktionen aus Naturwissenschaften und Technik Neue Serie Gesamtherausgabe: K.-H. Hellwege O. Madelung Gruppe III: Kristall- und Festkörperphysik Band 17 Halbleiter Herausgeber:

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali er l Elettronica Danilo Manstretta danilo.manstretta@univ.it microlab.univ.it Outline Passive comonents Resistors Caacitors Inductors Printed circuits technologies Materials

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Masanori Shirai*, Satoru Takazawa*, Satoru Ishibashi*, Tadashi Masuda* As flat-screen TVs become larger and their

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

SOIMUMPs Design Handbook

SOIMUMPs Design Handbook SOIMUMPs Design Handbook a MUMPs process C. J. Han, Allen Cowen, Greg Hames and Busbee Hardy MEMScAP Revision 3.0 Copyright 2002 by MEMScAP. All rights reserved. Permission to use and copy for internal,

More information

Today s agenda (19-JAN-2010)

Today s agenda (19-JAN-2010) Today s agenda (19-JAN-2010) 1) Overview of Integrated Circuit technology 2) Managing Deadlines 3) A look @ Spring Schedule 4) Suggested milestones 5) Project concept presentations Action items from last

More information

Reclaimed Silicon Solar Cells

Reclaimed Silicon Solar Cells 61 Reclaimed Silicon Solar Cells Victor Prajapati. Department ofmicroelectronic Engineering, 82 Lomb Memorial Dr., Rochester, NY 14623. Email: Victor.Prajapati@gmail.com Abstract Fully processed CMOS Si

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Semiconductor Device Fabrication Study

Semiconductor Device Fabrication Study Proceedings of The National Conference on Undergraduate Research (NCUR) 2003 University of Utah, Salt Lake City, Utah March 13-15, 2003 Semiconductor Device Fabrication Study Tsung-Ta Ho and Michael R.

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk MEMS Fabrication Blog 2017 Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

CMOS LAB MANUAL CMOS LAB MANUAL

CMOS LAB MANUAL CMOS LAB MANUAL CMOS LAB MANUAL 2011 CMOS LAB MANUAL This manual was designed for use with the Montana Microfabrication Facility at MSU. The intention of the manual is to provide lab users and MSU students with a complete

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Abstract The recent installation of a new etch tool, the Surface

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS John Yasaitis a, Michael Judy a, Tim Brosnihan a, Peter Garone a, Nikolay Pokrovskiy a, Debbie Sniderman a,scottlimb

More information

CAEE H3002: IC Layout and Design

CAEE H3002: IC Layout and Design Short Title: Full Title: IC Layout and APPROVED IC Layout and Module Code: CAEE H3002 Credits: 4 NFQ Level: 7 Field of Study: Electronics and automation Module Delivered in 2 programme(s) Reviewed By:

More information