Epitaxy Processing and Safety Considerations. Alan Simpson

Size: px
Start display at page:

Download "Epitaxy Processing and Safety Considerations. Alan Simpson"

Transcription

1 Epitaxy Processing and Safety Considerations Alan Simpson 1

2 Epitaxy From the Greek words: EPI - Meaning upon, on, above TAXIS - Meaning ordered, arrangement The epitaxy growth process involves the formation of a single crystal layer of material onto the surface of a substrate. 2

3 Epitaxy From the Greek words: EPI - Meaning upon, on, above TAXIS - Meaning ordered, arrangement The CVD Si Epi process is a high temperature process where a Si source gas undergoes a chemical reaction with a silicon substrate and a portion of the Si is deposited on the substrate copying its crystal orientation. 3

4 Epitaxial Deposition Chemistry General epitaxial growth process. Arrival 1. Bulk transport of reactants into the process volume 2. Gaseous diffusion of reactants to the surface 3. Absorption of reactants onto the surface Surface reaction 4. Surface reaction (reaction can also take place in the gas volume immediately above the surface) 5. Surface diffusion 6. Crystal lattice incorporation Removal of reactant by-products 7. Reaction by-product desorption 8. Gaseous transport of by-products 9. Bulk transport of by-products out of process volume ie. SiHCl3 (TCS) ie. HCl Epi Growth on a Mis-orientated Wafer Surface 4 Source: Silicon Epitaxy by Chemical Vapor Diffusion, Martin L Hammond

5 Classifications of Epitaxy HETEROEPITAXY - epi layer and substrate are DIFFERENT materials Silicon on Sapphire Gallium Arsenic on Silicon Silicon Germanium on Silicon Gallium Nitride on Silicon HOMOEPITAXY - epi layer and substrate are the SAME material. Silicon on Silicon Gallium Arsenic on Gallium Arsenic Virtually all commercial silicon epitaxy is homoepitaxy since high crystalline quality is possible; and layer thickness resistivity/conductivity is controllable. 5

6 Silicon Epitaxy Some of the more exotic requirements for RFAB Epi: Silicon Source Gases (TCS Silane DCS) High Temperatures C ( F) Silicon substrates; clean with very low surface oxide Hydrogen environment HCL gas to clean up surfaces 6

7 How Thick is Epi? The typical epi layer is 2-10 microns thick The typical 300mm substrate is 725 microns EPI LAYER SUBSTRATE The epi layer is only ~1% of the total wafer thickness How thick is 10 microns? A human hair is about 100 microns in diameter A red blood cell is almost 7 microns in diameter 7

8 How Expensive? Temperature considerations: (22) 1000 Watt IR Lamps Shielded with gold-clad solid-copper reflectors SCR ratios (16 zone power distribution) 480V system ~ 170 Amperes peak. 4 integrated-zones, R-type thermocouples Air and water cooled. Quartz and SiC graphite 80 kl/tool H² per day. The exhaust byproducts are flammable, pyrophoric, and corrosive. 8

9 HCl Etching During epi deposition, silicon coats the graphite and quartz reactor components as well as the wafer. Periodic removal of this coating is required. An HCl etch at around 1200 C is used. This process is basically the reverse of the deposition process. A low concentration in situ HCl etch is sometimes used to etch <1µm off the wafer surface before deposition. This wafer etch serves to remove surface damage and contamination. LBC8MV and LBC8LV processes at second epi use this feature 3HCl + Si = SiHCl 3 + H 2 (Gas) (Solid) (Gas) (Gas) 9

10 ASM Epsilon E3200 at RFAB Safety TI Confidential NDA Restrictions 10

11 RFAB HTRP EPI Safety. Best Known Method (BKM) is for minimizing and safely cleaning exhaust deposits that are a byproduct of Polysilicon or Epitaxial silicon deposition. Gas Name Purpose Gas Formula Gas Flow Silane Silicon source SiH 4 SiH 4 + H 2 Dichlorosilane (DCS) Silicon source SiH 2 Cl 2 SiH 2 Cl 2 + H 2 Trichlorosilane (TCS) Silicon source SiHCl 3 SiHCl 3 + H 2 Hydrogen Chloride Clean (Etch) chamber HCl HCl + H 2 Hydrogen Carrier Gas for all other gases (except N2 purge) H 2 any gas + H 2 (except N 2 ) The byproducts of recombined Silicon, Chloride, and Hydrogen can ignite within minutes after exposure to air. When high densities of these byproducts are present, explosions can occur. Exhaust byproducts are a natural occurrence when flowing gases containing silicon (Si), chlorine (Cl), and Hydrogen (H), and subjecting these gases to thermal energy (heat). As the molecules and atoms in the gases become heated, their bonds are broken and they recombine to form byproducts. This occurs both in the heated process chamber and downstream in the exhaust plumbing where the temperature drops. TI Information Selective Disclosure

12 RFAB HTRP EPI Safety. Best Known Method (BKM) is for minimizing and safely cleaning exhaust deposits that are a byproduct of Polysilicon or Epitaxial silicon deposition. Chlorosilane processes form SiCl 2 based (chlorosilane) polymers. These polymers are a viscous liquid. If a chlorosilane polymer is exposed to air, the surface of the viscous liquid reacts with moisture (H 2 O) and forms a silicon dioxide (SiO 2 ) layer or outer crust, with viscous liquid (polysiloxanes from polychlorosilanes) underneath. This siloxane polymer can occur within 10 min of exposure to air. Depending on the extent of exposure, there may be some chlorine remaining in the polymer. Exposure to air can occur due to a leak in the exhaust plumbing and hardware, or disassembly for maintenance. TI Information Selective Disclosure

13 RFAB HTRP EPI Safety. Best Known Method (BKM) is for minimizing and safely cleaning exhaust deposits that are a byproduct of Polysilicon or Epitaxial silicon deposition. How Byproducts React Silicon and silicon compounds deposit in the lines as highly excited atoms in a transient state (metastable intermediates) reactive to air (oxygen, water vapor), water or physical shock. Examples of physical shock are friction caused by a pressurized gas stream, sudden movement, or the vibration of a piece of hardware. The amount and reactivity of exhaust byproducts varies from system to system and process to process, depending on temperature, pressure, and the source gases. ALL REACTIONS BEGIN WHEN DEPOSITS ARE EXPOSED TO AIR! Oxygen and water (H 2 O) moisture in air react with the deposits. Partially reacted deposits are dangerous. When they continue their reaction, they can ignite in flames (e.g., a pop or flash ) and when confined, can explode. Partially reacted deposits can ignite in 3-5 minutes after exposure to air. Partially reacted deposits will ignite when exposed to friction (e.g., a sudden inrush of air), heat (e.g., heated gas flows), or mechanical shock (e.g., banging on an exhaust line). Fully reacted deposits are no longer pyrophoric. What you have left is sand (SiO 2 ). TI Information Selective Disclosure

14 RFAB HTRP EPI Safety. Best Known Method (BKM) is for minimizing and safely cleaning exhaust deposits that are a byproduct of Polysilicon or Epitaxial silicon deposition. Why? The EPI process is very inefficient. Amorphous silicon dusts. it is fully reacted, it is not pyrophoric. (sand) During silicon deposition only 1% of the gas typically binds to the surface of the wafer. The other 99% is exhausted, releasing unbound silicon throughout the exhaust plumbing. This residual silicon deposits on pipe walls; binds to other ions, atoms and radicals in the gas stream; or flows to an abatement device. TI Information Selective Disclosure

15 RFAB HTRP EPI Safety. Best Known Method (BKM) is for minimizing and safely cleaning exhaust deposits that are a byproduct of Polysilicon or Epitaxial silicon deposition. What conditions typically cause the byproducts to react 1. During maintenance activities, when exhaust plumbing, chamber, or scrubber is disassembled and the deposits are exposed to air. 2. After restarting the system or chamber, the deposits can be exposed by an inrush of air caused by the pump. This occurs following maintenance. 3. When an EMO (Emergency Off) button is pressed. 4. The system has been powered down. Examples: relocation, idle, power failure. 5. Cleaning using concentrated acid or alcohols. Examples: IPA, Hydrofluoric acid (HF). 6. Cleaning using pressurized gas, like spraying N 2 or pressurized water. 7. When a leak has introduced air to the exhaust line, a pump, or an abatement device. Examples: load lock, poorly connected seals, or thermocouple sheath. 8. Occasionally during wafer processing. Usually, nothing remains to determine root cause. TI Information Selective Disclosure

16 Tools Scrubber room Under the raised floor on the FAB Bi-weekly Clean and Leak check Chamber change Leak check only Chamber Change 16

17 RFAB HTRP EPI Safety. Best Known Method (BKM) is for minimizing and safely cleaning exhaust deposits that are a byproduct of Polysilicon or Epitaxial silicon deposition. Vacuum fore line - Process of growing silicon (supplied % B2H6, DCS, & H2) Vacuum exhaust line Chamber clean (supplied HCL & H2) Process Chamber Atm Ex Line * Scrubbed Exhaust not shown Vac Fore Line Sight Glass Vacuum Pump Vac pump Ex Line Scrubber TI Information Selective Disclosure

18 RFAB HTRP EPI Safety. BOC Edward s ixh3045h process vacuum pump package. New pump to TI, rated for the EPI process and hydrogen capacity. TI Information Selective Disclosure

19 RFAB HTRP EPI Safety. The process abatement will be an Airgard Cyclone wet scrubber. Meets the copy exact criteria for technology transfer. Similar to RFAB s existing abatement fleet to reduce impact to spares and build-out capacity. Safety record has been long established. TI Information Selective Disclosure

20 New safety stuff TI Confidential NDA Restrictions 20

21 SGA BKMs Solutions being pursued or fanned-out by the team: ) Caustic high PH treatment to scrubber make-up water. -MFAB - reduces the amount of needed maintenance. PDB#: MFAB-FE This is a large facilities project generally effecting more than just EPI. MFAB s justification was based on the cost saving from using reclaimed water, but that allowed control of the closed the loop. Justin Bassett said they have not performed an inlet clean on their scrubbers for periods as long 9 months.

22 SGA BKMs Solutions being pursued or fanned-out by the team: ) N² contained pipes during maintenance safety improvements. -Miho - reduces the possibility of reaction by lowering the O² exposure. Miho s method of isolating the byproduct from atmosphere. Shown at the innovation convention in early 2017.

23 SGA BKMs Solutions being pursued or fanned-out by the team: ) Post maintenance O² measurement. Meter -SFAB - Static measurement of the amount of O² intrusion post maintenance. SFAB s method of measuring the percentage of O² while flowing N² to the exhaust after maintenance. Shown at the innovation convention in early 2017.

24 SGA BKMs Solutions being pursued or fanned-out by the team: ) Exhaust line joint bagging. -Miho - Bag containing mechanical joints, allows a witness method for leaks. Miho s method of creating an transparent isolated witness point where exhaust leaks are most likely to occur. Shown at the innovation convention in early 2017.

25 SGA BKMs Solutions fanned-out by the team: ) Stainless steel inlet for Air Gard scrubbers. - Resist deformation due to heated air, age, weight, or fire. DFAB s sourced part to replace the Air Gard Y inlet with a different material. -DFAB

26 SGA BKMs Solutions fanned-out by the team: ) Air Gard inlet split nut repair. -SFAB - Allows a quicker, simple repair of broken union nut. SFAB s machined part design allowing the easily, less expensive repair of the scrubber inlet union nut.

27 SGA BKMs Solutions fanned-out by the team: ) O² exhaust monitoring port. -RFAB - Allows access to the direct output of the scrubber with O² intrusion. RFAB s attempt to design a sealable pitot port into the scrubber s exhaust.

28 End TI Confidential NDA Restrictions 28

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

NANOCHEM Purifiers. Complete Purification Solutions with

NANOCHEM Purifiers. Complete Purification Solutions with Complete Purification Solutions with NANOCHEM Purifiers Since 1985, MATHESON NANOCHEM purifiers have provided unprecedented purification solutions to the electronic industry. NANOCHEM purifiers were the

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys Chapter 5 Epitaxial Growth of Si 1-y C y Alloys 5.1 Introduction Traditionally, the incorporation of substitutional carbon into silicon and silicongermanium alloys during growth is of great interest for

More information

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by www.cvdequipment.com Equipment Design, Engineering, and Manufacturing Thin film deposition systems for industrial

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Author: Anthony Jones Presented by: Sarah Gibson

Author: Anthony Jones Presented by: Sarah Gibson Unique Industrial Hygiene Aspects in Gallium Arsenide Device Manufacturing Facilities Author: Anthony Jones Presented by: Sarah Gibson Outline Gallium arsenide overview Various operations IH issues MOCVD

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

EXHAUST MANAGEMENT PRIMARILY ACID COMPOUNDS. MINIMAL VOLATILE ORGANIC COMPOUNDS (VOC). HMDS FROM PHOTO CAN BE EXHAUSTED (RATHER THAN TO VOC EXHAUST).

EXHAUST MANAGEMENT PRIMARILY ACID COMPOUNDS. MINIMAL VOLATILE ORGANIC COMPOUNDS (VOC). HMDS FROM PHOTO CAN BE EXHAUSTED (RATHER THAN TO VOC EXHAUST). EXHAUST MANAGEMENT EXHAUST MANAGEMENT ACID EXHAUST PRIMARILY ACID COMPOUNDS. MINIMAL VOLATILE ORGANIC COMPOUNDS (VOC). HMDS FROM PHOTO CAN BE EXHAUSTED (RATHER THAN TO VOC EXHAUST). AMMONIA NEEDS TO BE

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information

CYRANNUS. Welcome at iplas. See the latest developments! CYRANNUS.

CYRANNUS. Welcome at iplas. See the latest developments! CYRANNUS. Welcome at iplas. See the latest developments! High performance plasma CYlindrical Resonator with ANNUlar Slots EH-tuner from vacuum to atmosphere uniform plasma large plasma extension high power density

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

New proposal of the environmental friendly abatement system for LED MOCVD process

New proposal of the environmental friendly abatement system for LED MOCVD process CNF-1927 New proposal of the environmental friendly abatement system for LED MOCVD process March 2012 Tsutomu Tsukada Kanken Techno co., Ltd. 1 Agenda 1. Process gases for CVD and Dry Etch application

More information

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) PREPARED BY: You-Sheng (Wilson) Lin, Nanolab Staff 7-30-2013 Superusers: Steve Franz You-Sheng Lin Max Ho X68923 X68923

More information

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Y. Kim Professor, Department of Materials Science and Engineering, College of Engineering, Kyonggi

More information

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS Pioneering ALD experience since 1974 The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS SUNALE P-SERIES ALD SYSTEMS PRODUCT CATALOGUE Description SUNALE P-series ALD system SUNALE P-series

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer

Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer , pp.47-51 http://dx.doi.org/10.14257/astl.2015.117.11 Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer Yootaek Kim 1 and Junwon Choi 2 1 Dept. of Materials Engineering,

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers 2nd. Annual c-si PVMC Workshop at Intersolar NA, San Francisco, CA, July 2013 1 Microns Kerf! Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Paul C. McIntyre Department of Materials Science & Engineering Geballe Laboratory for Advanced Materials Stanford

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Semiconductor Abatement Systems

Semiconductor Abatement Systems Semiconductor Abatement Systems Aug 2013 Jay Jung VP of Marketing What is the customer looking for? Capacity, Capability & Commitment GST Confidential 2 Capacity Size Engineering Capacity Production Capacity

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Metal Transitions HIGH TEMPERATURE

Metal Transitions HIGH TEMPERATURE Metal Transitions High Temperature Thermal Solutions of Texas continues to meet the demands of technological advances by developing thermocouples using materials with unusually high performance characteristics

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

VAC AERO International Inc. Training Manual BASIC HEAT TREATING

VAC AERO International Inc. Training Manual BASIC HEAT TREATING Training Manual BASIC HEAT TREATING What is Heat Treating? -1- BASIC HEAT TREATING Heat treating is a process involving controlled heating and cooling of a solid metal to produce a desired change in the

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

AIR PERMITTING WAFER FABS. MIKE SHERER SHERER CONSULTING SERVICES, INC

AIR PERMITTING WAFER FABS. MIKE SHERER SHERER CONSULTING SERVICES, INC AIR PERMITTING WAFER FABS MIKE SHERER SHERER CONSULTING SERVICES, INC. 480-773-5548 msherereng@aol.com Chiller(s) Temp. Control Hum. Controls CDA Vac. Wafers In Other - In Elec. Power ESD Control Vib.

More information

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Christian A. Zorman, Robert C. Roberts, and Li Chen Abstract This chapter presents an overview of the key methods and process recipes

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Chemistry 145 Exam number 4 name 11/19/98 # Faraday s constant is 96,500 c/mole of electrons.

Chemistry 145 Exam number 4 name 11/19/98 # Faraday s constant is 96,500 c/mole of electrons. Chemistry 145 Exam number 4 name 11/19/98 # Faraday s constant is 96,500 c/mole of electrons. A.(16) An electrochemical cell is prepared with a strip of manganese metal dipping in to a 1.0 M MnSO 4 solution

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

July Table 1. General influencing trends of carbon and sulfur on the physical properties ofmetals.

July Table 1. General influencing trends of carbon and sulfur on the physical properties ofmetals. July 2012 Advancing Carbon and Sulfur Assessment: Combustion Analysis with Minimal Furnace Maintenance By Dr. Eric S. Oxley, Product Manager GA, Bruker Elemental, Billerica, MA USA Carbon and Sulfur Content

More information

Laser assisted Cold Spray

Laser assisted Cold Spray 2009-02-16 Laser assisted Cold Spray Andrew Cockburn, Matthew Bray, Rocco Lupoi Bill O Neill Innovative Manufacturing Research Centre (IMRC) Institute for Manufacturing, Department of Engineering, University

More information

CARBON. Carbon is an element of symbol C, with atomic number 6 (this means it has 6. available to form covalent bonds (tetravalent).

CARBON. Carbon is an element of symbol C, with atomic number 6 (this means it has 6. available to form covalent bonds (tetravalent). CARBON Carbon is an element of symbol C, with atomic number 6 (this means it has 6 protons in its core or nuclei), is a non-metallic element, with available electrons available to form covalent bonds (tetravalent).

More information

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD AIMCAL 2005 Myrtle Beach, SC, USA, October 19th, 2005 Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD E. Reinhold, C. Steuer VON ARDENNE Anlagentechnik GmbH, Dresden, Germany

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch Chapter 1.6 I - Substrate Specifications Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table Diameter 100 mm 4-inch 150 mm 6-inch Thickness 525 µm 20.5 mils 675

More information

ZYBF. High Temperature Yttria Stabilized Zirconia Fibers. Advanced Fibrous Ceramics

ZYBF. High Temperature Yttria Stabilized Zirconia Fibers. Advanced Fibrous Ceramics Advanced Fibrous Ceramics ZYBF High Temperature Yttria Stabilized Zirconia Fibers The Unrivaled Industry Leader of ZrO 2 Insulation Three Product Types ZYBF-1 (7.5 pcf) ZYBF-2 (21 pcf) ZYBF-5 (16 pcf)

More information

Crude Oil and Refined Products Processing

Crude Oil and Refined Products Processing Crude Oil and Refined Products Processing High Performance Ball Valves for Severe Service Applications Experience in Motion Application Know-How For more than 60 years Argus has delivered high performance

More information

University of Minnesota Nano Center Standard Operating Procedure

University of Minnesota Nano Center Standard Operating Procedure Equipment Name: University of Minnesota Nano Center PECVD Coral Name: pecvd Revision Number: 2.0 Model: Plasmatherm 340 Revisionist: Mark Fisher Location: Bay 3 Date: 20 Sept 2013 1 Description The Plasmatherm

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Can your unit pass a Particulate Emission Compliance Test?

Can your unit pass a Particulate Emission Compliance Test? Source Emissions Testing and Emissions Specialists Can your unit pass a Particulate Emission Compliance Test? Kevin Crosby The Avogadro Group, LLC California - Oregon - Arizona McIlvaine Hot Topic Hour,

More information

Shur-Shot X-Proof Hydrogen Fluoride Alarm Operations Manual

Shur-Shot X-Proof Hydrogen Fluoride Alarm Operations Manual Shur-Shot X-Proof Hydrogen Fluoride Alarm Operations Manual P/N 1000006053 Rev E $7%$QDO\WLFV// //& 733 Dairy Rd. Parkton, Md. 21120 www.atbanalytics.com (410) 733-6365 Table of Contents Chapter 1: Getting

More information

WHAT TO DO? ELECTROPLATING OR METAL SPRAYING?

WHAT TO DO? ELECTROPLATING OR METAL SPRAYING? WHAT TO DO? ELECTROPLATING OR METAL SPRAYING? S. de Vries, J.W. Rauhorst, B. Plasse & F. Kemeling The first step to improve and control the quality of a metal product starts at the desk of the designer.

More information

UV Coloring of Optical Fiber Final Report

UV Coloring of Optical Fiber Final Report UV Coloring of Optical Fiber Final Report Why Change the Process? Alcatel Telecommunications Cable located in Claremont, North Carolina, manufactures optical fiber and cable. After fiber is produced, it

More information

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE AC 2011-2416: MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE Mustafa G. Guvench, University of Southern Maine Mustafa G. Guvench received M.S.

More information

Finding the Root Cause is Critical

Finding the Root Cause is Critical Finding the Root Cause is Critical Have you ever repaired a tube leak and put the boiler back in service, only to be forced off-line by another leak? Identifying and correcting the root cause is essential.

More information

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology Introduction to Thin Film Contents 1. Introduction and Application Examples (2h) 2. Preparation of Thin Films by PVD (Physical Vapor Deposition) (6h) 2.1 Vacuum Technique (1h) 2.1.1 Kinetics of Gases 2.1.2

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Guénaël RIBETTE. General Director

Guénaël RIBETTE. General Director Guénaël RIBETTE General Director I will explain you Different sketches in relation with current thermal applications in following Segments Semiconductor Fiber optic Automotive Aerospace & Defense Telecomunications

More information

Environmental Technologies

Environmental Technologies Environmental Technologies Environmental Technologies Our work in environmental fields is centred on the application of mass transfer technology applied to the abatement of air and water-borne pollution.

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3. Chapter 2 Thin Film Materials Thin films of Silicon dioxide, Silicon nitride and Polysilicon have been utilized in the fabrication of absolute micro pressure sensor. These materials are studied and discussed

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Protection Effectiveness of Vapor Corrosion Inhibitor VpCI 619 for Corrosion Under Insulation at Elevated Temperatures

Protection Effectiveness of Vapor Corrosion Inhibitor VpCI 619 for Corrosion Under Insulation at Elevated Temperatures VpCI 619 Protection Effectiveness of Vapor Corrosion Inhibitor VpCI 619 for Corrosion Under Insulation at Elevated Temperatures For: CORTEC Corporation by Behzad Bavarian, California State University,

More information

Basics of Solar Photovoltaics. Photovoltaics (PV) Lecture-21

Basics of Solar Photovoltaics. Photovoltaics (PV) Lecture-21 Lecture-21 Basics of Solar Photovoltaics Photovoltaics (PV) Photovoltaics (PV) comprise the technology to convert sunlight directly into electricity. The term photo means light and voltaic, electricity.

More information

SECTION COOLING TOWER

SECTION COOLING TOWER PART 1 GENERAL 1.1 SECTION INCLUDES A. Mechanical induced draft Cooling Tower B. Controls C. Ladder and handrails 1.2 REFERENCES SECTION 23 65 00 COOLING TOWER A. ANSI/AFBMA 9 - Load Rating and Fatigue

More information

HIGH TEMPERATURE CERAMIC & GRAPHITE ADHESIVES

HIGH TEMPERATURE CERAMIC & GRAPHITE ADHESIVES HIGH TEMPERATURE & GRAPHITE S Technical Bulletin A2 Ceramabond 835-M bonds halogen lamp. Ceramabond 503 coats heater used to 1700 ºC. Ceramabond 685-N bonds infrared heater. Graphi-Bond 551-RN bonds graphite

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components

SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components Used by high temperature thin film superconductor researchers worldwide! Purity better than 99.9%! Choose

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Filtration and Purification Solutions for the Total Photovoltaic Value Chain

Filtration and Purification Solutions for the Total Photovoltaic Value Chain Filtration and Purification Solutions for the Total Photovoltaic Value Chain MEPVCAPENb Introduction Company Profile Pall Corporation is the global leader in the rapidly growing field of filtration, separation

More information

CHAPTER 6 : GAS SAMPLING SYSTEMS

CHAPTER 6 : GAS SAMPLING SYSTEMS CHAPTER 6 : GAS SAMPLING SYSTEMS 1 Scope : 1.1 This Chapter describes two types of gas sampling systems in paragraphs 2.1 and 2.2 meeting the requirements specified in para 4.2 of Chapter 3 of this Part.

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Solutions. for Severe Corrosion

Solutions. for Severe Corrosion Solutions for Severe Corrosion Linas Mazeika, President, 3L&T Inc., USA, reveals how to prevent equipment corrosion caused by hot combustion gases in a cement plant. Summary The serious economic consequences

More information

GMAW (MIG) / FCAW / MCAW

GMAW (MIG) / FCAW / MCAW Welding Processes GMAW () / FCAW / MCAW Gas Metal Arc Welding (GMAW), Flux Cored Arc Welding (FCAW) and Metal Cored Arc Welding (MCAW) Gas Metal Arc Welding (GMAW) GMA commonly referred to as Metal Inert

More information

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre Energy Efficient Glazing Design John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre 2 John Ridealgh 30th November 2009 Talk Outline Pilkington Group Limited & NSG Group

More information

condorchem envitech AIR TREATMENT Solutions and Technologies

condorchem envitech AIR TREATMENT Solutions and Technologies condorchem envitech AIR TREATMENT Solutions and Technologies CONDORCHEM ENVITECH Condorchem Envitech is an environmental engineering company that offers turn-key solutions for: VOC s treatment Odor treatment

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

Plasma CVD passivation; Key to high efficiency silicon solar cells, "Plasma CVD passivation; Key to high efficiency silicon solar cells", David Tanner Date: May 7, 2015 2012 GTAT Corporation. All rights reserved. Summary: Remarkable efficiency improvements of silicon solar

More information

AP Chemistry A. Allan Chapter 18 - The Representative Elements: Groups 1A through 4A

AP Chemistry A. Allan Chapter 18 - The Representative Elements: Groups 1A through 4A AP Chemistry A. Allan Chapter 18 - The Representative Elements: Groups 1A through 4A 18.1 A Survey of the Representative Elements A. Basic Trends 1. Metals tend to lose electrons and form cations 2. Nonmetals

More information

an ISO9001 company TECHNICAL INFORMATION FOR TGS2600 Technical Information for Air Quality Control Sensors

an ISO9001 company TECHNICAL INFORMATION FOR TGS2600 Technical Information for Air Quality Control Sensors Technical Information for Air Quality Control Sensors an ISO900 company The Figaro 2600 series is a new type thick film metal oxide semiconductor, screen printed gas sensor which offers miniaturization

More information

CO]\ITIl\[UOUlS CARBURIXIl^G BY GAS

CO]\ITIl\[UOUlS CARBURIXIl^G BY GAS CO]\ITIl\[UOUlS CARBURIXIl^G BY GAS compact unit installed by CltrYsler Corp. By R. J. Cowan Metallurgical Engineer Surface Combustion Corp. Toledo, Ohio D ESPITE the growth of alloy steels and special

More information

Water Vapor and Carbon Nanotubes

Water Vapor and Carbon Nanotubes Water Vapor and Carbon Nanotubes Published technical papers on carbon nanotube fabrication point out the need to improve the growth rate and uniformity of Carbon Nanotubes. CNT faces major hurdles in its

More information

Minimize the Risk of Fire During Distillation Column Maintenance

Minimize the Risk of Fire During Distillation Column Maintenance Minimize the Risk of Fire During Distillation Column Maintenance Christoph Ender Koch-Glitsch, Inc. Dana Laird Koch-Glitsch, Inc. Prepared for presentation at the 2002 AIChE Spring National Meeting, New

More information